Altera FPGA 开发板-DE2板完整原理图资料及软件例程.rar

上传者: originator | 上传时间: 2019-12-21 20:25:09 | 文件大小: 29.87MB | 文件类型: rar
Altera_Debug_Client DE2_Computer_Organization DE2_System CDROM Introduction tothe Altera NiosII Soft Processor.pdf Introduction totheAltera SOPCBuilder Using Verilog Design.pdf Introduction totheAltera SOPCBuilder Using VHDL Design.pdf Nios II Processor Reference Handbook.pdf Quartus II Version 6.0 Handbook Volume 5 Altera Embedded Peripherals.pdf

文件下载

资源详情

[{"title":"( 91 个子文件 29.87MB ) Altera FPGA 开发板-DE2板完整原理图资料及软件例程.rar","children":[{"title":"DE2","children":[{"title":"DE2_System CDROM","children":[{"title":"DE2_pin_assignments.csv <span style='color:#111;'> 8.11KB </span>","children":null,"spread":false},{"title":"DE2_control_panel","children":[{"title":"FTD2XX.DLL <span style='color:#111;'> 80.00KB </span>","children":null,"spread":false},{"title":"DE2_USB_API.sof <span style='color:#111;'> 821.38KB </span>","children":null,"spread":false},{"title":"DE2_USB_API.pof <span style='color:#111;'> 2.00MB </span>","children":null,"spread":false},{"title":"Image_Convert_English.pdf <span style='color:#111;'> 45.82KB </span>","children":null,"spread":false},{"title":"DE2_Control_Panel.exe <span style='color:#111;'> 1.14MB </span>","children":null,"spread":false},{"title":"ImgConv.exe <span style='color:#111;'> 680.00KB </span>","children":null,"spread":false}],"spread":true},{"title":"DE2_tutorials","children":[{"title":"tut_simulation_verilog.pdf <span style='color:#111;'> 345.65KB </span>","children":null,"spread":false},{"title":"tut_initialDE2.pdf <span style='color:#111;'> 118.86KB </span>","children":null,"spread":false},{"title":"tut_simulation_vhdl.pdf <span style='color:#111;'> 346.68KB </span>","children":null,"spread":false},{"title":"tut_nios2_introduction.pdf <span style='color:#111;'> 115.77KB </span>","children":null,"spread":false},{"title":"tut_lpms_vhdl.pdf <span style='color:#111;'> 279.18KB </span>","children":null,"spread":false},{"title":"tut_DE2_sdram_vhdl.pdf <span style='color:#111;'> 501.13KB </span>","children":null,"spread":false},{"title":"tut_quartus_intro_schem.pdf <span style='color:#111;'> 983.88KB </span>","children":null,"spread":false},{"title":"tut_quartus_intro_vhdl.pdf <span style='color:#111;'> 1002.24KB </span>","children":null,"spread":false},{"title":"tut_lpms_verilog.pdf <span style='color:#111;'> 263.97KB </span>","children":null,"spread":false},{"title":"tut_sopc_introduction_verilogDE2.pdf <span style='color:#111;'> 872.71KB </span>","children":null,"spread":false},{"title":"tut_sopc_introduction_vhdl.pdf <span style='color:#111;'> 863.49KB </span>","children":null,"spread":false},{"title":"tut_timing_verilog.pdf <span style='color:#111;'> 445.68KB </span>","children":null,"spread":false},{"title":"tut_quartus_intro_verilog.pdf <span style='color:#111;'> 962.79KB </span>","children":null,"spread":false},{"title":"tut_timing_vhdl.pdf <span style='color:#111;'> 446.82KB </span>","children":null,"spread":false},{"title":"tut_DE2_sdram_verilog.pdf <span style='color:#111;'> 495.19KB </span>","children":null,"spread":false},{"title":"design_files","children":[{"title":"DE2_pin_assignments.csv <span style='color:#111;'> 8.11KB </span>","children":null,"spread":false},{"title":"addersubtractor.v <span style='color:#111;'> 1.89KB </span>","children":null,"spread":false},{"title":"addersubtractor2.vhd <span style='color:#111;'> 3.65KB </span>","children":null,"spread":false},{"title":"addersubtractor.vhd <span style='color:#111;'> 3.08KB </span>","children":null,"spread":false},{"title":"addersubtractor2.v <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"DE2_schematics","children":[{"title":"DE2_schematics.pdf <span style='color:#111;'> 357.30KB </span>","children":null,"spread":false}],"spread":true},{"title":"Datasheets","children":[{"title":"Memory","children":[{"title":"IS42S16400.pdf <span style='color:#111;'> 1.47MB </span>","children":null,"spread":false},{"title":"61LV25616.pdf <span style='color:#111;'> 92.90KB </span>","children":null,"spread":false},{"title":"S29AL032D_00_A5_E.pdf <span style='color:#111;'> 1.57MB </span>","children":null,"spread":false},{"title":"MBM29LV800.pdf <span style='color:#111;'> 292.12KB </span>","children":null,"spread":false}],"spread":true},{"title":"VGA DAC","children":[{"title":"ADV7123_a.pdf <span style='color:#111;'> 364.75KB </span>","children":null,"spread":false}],"spread":true},{"title":"RS232","children":[{"title":"max232.pdf <span style='color:#111;'> 418.74KB </span>","children":null,"spread":false}],"spread":true},{"title":"TV Decoder","children":[{"title":"182877477ADV7181B_a.pdf <span style='color:#111;'> 896.68KB </span>","children":null,"spread":false}],"spread":true},{"title":"USB","children":[{"title":"ISP1362 DatsSheet.pdf <span style='color:#111;'> 642.76KB </span>","children":null,"spread":false},{"title":"Embedded Programming Guide.pdf <span style='color:#111;'> 1.46MB </span>","children":null,"spread":false}],"spread":true},{"title":"IrDA","children":[{"title":"HSDL-3201.pdf <span style='color:#111;'> 299.84KB </span>","children":null,"spread":false}],"spread":true},{"title":"Cyclone_II","children":[{"title":"cyc2_cii5v1_01.pdf <span style='color:#111;'> 1.46MB </span>","children":null,"spread":false},{"title":"see_www.altera.com.txt <span style='color:#111;'> 93B </span>","children":null,"spread":false}],"spread":true},{"title":"Ethernet","children":[{"title":"DM9000A Application Notes Ver 1_20 042205.pdf <span style='color:#111;'> 181.58KB </span>","children":null,"spread":false},{"title":"DM9000A-P03-042105.pdf <span style='color:#111;'> 1.68MB </span>","children":null,"spread":false}],"spread":true},{"title":"LCD","children":[{"title":"CFAH1602BTMCJP.pdf <span style='color:#111;'> 237.79KB </span>","children":null,"spread":false}],"spread":false},{"title":"Audio CODEC","children":[{"title":"WM8731_WM8731L.pdf <span style='color:#111;'> 721.29KB </span>","children":null,"spread":false}],"spread":false}],"spread":true},{"title":"DE2_lab_exercises","children":[{"title":"DE2_labs_verilog","children":[{"title":"lab6_Verilog.pdf <span style='color:#111;'> 216.15KB </span>","children":null,"spread":false},{"title":"lab4_Verilog.pdf <span style='color:#111;'> 33.83KB </span>","children":null,"spread":false},{"title":"lab3_Verilog.pdf <span style='color:#111;'> 76.56KB </span>","children":null,"spread":false},{"title":"lab9_Verilog.pdf <span style='color:#111;'> 161.00KB </span>","children":null,"spread":false},{"title":"lab1_Verilog.pdf <span style='color:#111;'> 82.77KB </span>","children":null,"spread":false},{"title":"lab10_Verilog.pdf <span style='color:#111;'> 63.17KB </span>","children":null,"spread":false},{"title":"lab8_Verilog.pdf <span style='color:#111;'> 206.61KB </span>","children":null,"spread":false},{"title":"lab7_Verilog.pdf <span style='color:#111;'> 93.04KB </span>","children":null,"spread":false},{"title":"lab5_Verilog.pdf <span style='color:#111;'> 12.75KB </span>","children":null,"spread":false},{"title":"lab2_Verilog.pdf <span style='color:#111;'> 58.75KB </span>","children":null,"spread":false}],"spread":true},{"title":"DE2_labs_vhdl","children":[{"title":"lab5_VHDL.pdf <span style='color:#111;'> 12.75KB </span>","children":null,"spread":false},{"title":"lab10_VHDL.pdf <span style='color:#111;'> 59.80KB </span>","children":null,"spread":false},{"title":"lab4_VHDL.pdf <span style='color:#111;'> 33.67KB </span>","children":null,"spread":false},{"title":"lab6_VHDL.pdf <span style='color:#111;'> 219.49KB </span>","children":null,"spread":false},{"title":"lab9_VHDL.pdf <span style='color:#111;'> 162.17KB </span>","children":null,"spread":false},{"title":"lab2_VHDL.pdf <span style='color:#111;'> 58.41KB </span>","children":null,"spread":false},{"title":"lab3_VHDL.pdf <span style='color:#111;'> 75.20KB </span>","children":null,"spread":false},{"title":"lab7_VHDL.pdf <span style='color:#111;'> 92.67KB </span>","children":null,"spread":false},{"title":"lab1_VHDL.pdf <span style='color:#111;'> 84.62KB </span>","children":null,"spread":false},{"title":"lab8_VHDL.pdf <span style='color:#111;'> 203.33KB </span>","children":null,"spread":false}],"spread":true},{"title":"DE2_pin_assignments.csv <span style='color:#111;'> 8.11KB </span>","children":null,"spread":false}],"spread":true},{"title":"DE2_user_manual","children":[{"title":"DE2_UserManual.pdf <span style='color:#111;'> 3.66MB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"DE2_Computer_Organization","children":[{"title":"comporg_lab5.pdf <span style='color:#111;'> 241.57KB </span>","children":null,"spread":false},{"title":"comporg_lab2.pdf <span style='color:#111;'> 110.53KB </span>","children":null,"spread":false},{"title":"comporg_lab1.pdf <span style='color:#111;'> 94.88KB </span>","children":null,"spread":false},{"title":"altera_debug_client_setup.exe <span style='color:#111;'> 1.98MB </span>","children":null,"spread":false},{"title":"University_Program_IP_Cores","children":[{"title":"altera_up_avalon_to_external_bus_bridge","children":[{"title":"hdl","children":[{"title":"Altera_UP_Avalon_to_External_Bus_Bridge.vhd <span style='color:#111;'> 5.66KB </span>","children":null,"spread":false},{"title":"Altera_UP_Avalon_to_External_Bus_Bridge.v <span style='color:#111;'> 5.45KB </span>","children":null,"spread":false}],"spread":true},{"title":"cb_generator.pl <span style='color:#111;'> 30.50KB </span>","children":null,"spread":false},{"title":"class.ptf <span style='color:#111;'> 38.14KB </span>","children":null,"spread":false}],"spread":true},{"title":"altera_up_avalon_DE2_pio","children":[{"title":"sdk","children":[{"title":"DE2_pio_struct.h <span style='color:#111;'> 486B </span>","children":null,"spread":false},{"title":"DE2_pio_struct.s <span style='color:#111;'> 397B </span>","children":null,"spread":false}],"spread":true},{"title":"inc","children":[{"title":"DE2_pio_regs.h <span style='color:#111;'> 3.16KB </span>","children":null,"spread":false}],"spread":true},{"title":"HAL","children":[{"title":"system.h_component_output.gtf <span style='color:#111;'> 761B </span>","children":null,"spread":false}],"spread":true},{"title":"class.ptf <span style='color:#111;'> 10.10KB </span>","children":null,"spread":false},{"title":"em_DE2_pio.pl <span style='color:#111;'> 18.64KB </span>","children":null,"spread":false}],"spread":true},{"title":"ReleaseNotes.txt <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"UP_IP_Library.exe <span style='color:#111;'> 796.71KB </span>","children":null,"spread":false}],"spread":true},{"title":"comporg_lab5_design_files.zip <span style='color:#111;'> 4.08KB </span>","children":null,"spread":false},{"title":"comporg_lab3.pdf <span style='color:#111;'> 89.52KB </span>","children":null,"spread":false},{"title":"comporg_lab4.pdf <span style='color:#111;'> 146.63KB </span>","children":null,"spread":false}],"spread":true},{"title":"Documents","children":[{"title":"University_Program_IP_Cores","children":null,"spread":false},{"title":"Quartus II Version 6.0 Handbook Volume 5 Altera Embedded Peripherals.pdf <span style='color:#111;'> 2.62MB </span>","children":null,"spread":false},{"title":"Introduction totheAltera SOPCBuilder Using VHDL Design.pdf <span style='color:#111;'> 972.38KB </span>","children":null,"spread":false},{"title":"Nios II Processor Reference Handbook.pdf <span style='color:#111;'> 1.76MB </span>","children":null,"spread":false},{"title":"Introduction totheAltera SOPCBuilder Using Verilog Design.pdf <span style='color:#111;'> 982.27KB </span>","children":null,"spread":false},{"title":"Introduction tothe Altera NiosII Soft Processor.pdf <span style='color:#111;'> 119.13KB </span>","children":null,"spread":false}],"spread":true},{"title":"Altera_Debug_Client","children":[{"title":"setup.exe <span style='color:#111;'> 1.90MB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • pantonglin :
    不错的资源,对FPGA入门很有帮助
    2018-11-02
  • tchely1 :
    不错的资源,对FPGA入门很有帮助
    2018-11-02
  • judecumt :
    很有参考价值,谢谢分享!
    2018-09-14
  • judecumt :
    很有参考价值,谢谢分享!
    2018-09-14
  • ijunjay :
    非常不错的资料
    2017-01-21
  • ijunjay :
    非常不错的资料
    2017-01-21
  • zhujian2015 :
    不错不错,值得下载
    2017-01-12
  • zhujian2015 :
    不错不错,值得下载
    2017-01-12
  • sinat_18104613 :
    根据这个学了好多东西呢
    2016-12-26
  • sinat_18104613 :
    根据这个学了好多东西呢
    2016-12-26

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明