[{"title":"( 342 个子文件 44.64MB ) FIFO实现异步通信verilog源码vivado","children":[{"title":"__synthesis_is_complete__ <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"__synthesis_is_complete__ <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"__synthesis_is_complete__ <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"runme.bat <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"runme.bat <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"runme.bat <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"runme.bat <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"ila_0.dcp <span style='color:#111;'> 725.63KB </span>","children":null,"spread":false},{"title":"ila_0.dcp <span style='color:#111;'> 725.63KB </span>","children":null,"spread":false},{"title":"ila_0.dcp <span style='color:#111;'> 724.78KB </span>","children":null,"spread":false},{"title":"FIFO_routed.dcp <span style='color:#111;'> 335.64KB </span>","children":null,"spread":false},{"title":"FIFO_physopt.dcp <span style='color:#111;'> 323.19KB </span>","children":null,"spread":false},{"title":"FIFO_placed.dcp <span style='color:#111;'> 310.09KB </span>","children":null,"spread":false},{"title":"scfifo.dcp <span style='color:#111;'> 103.74KB </span>","children":null,"spread":false},{"title":"scfifo.dcp <span style='color:#111;'> 103.74KB </span>","children":null,"spread":false},{"title":"scfifo.dcp <span style='color:#111;'> 103.15KB </span>","children":null,"spread":false},{"title":"FIFO_opt.dcp <span style='color:#111;'> 91.95KB </span>","children":null,"spread":false},{"title":"FIFO.dcp <span style='color:#111;'> 15.90KB </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 1011B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 971B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 920B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 906B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 703B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 679B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 638B </span>","children":null,"spread":false},{"title":"compile.do <span style='color:#111;'> 628B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 331B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 323B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 323B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 303B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 294B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 294B </span>","children":null,"spread":false},{"title":"elaborate.do <span style='color:#111;'> 203B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 189B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 187B </span>","children":null,"spread":false},{"title":"elaborate.do <span style='color:#111;'> 175B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"wave.do <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 11B </span>","children":null,"spread":false},{"title":"simulate.do <span style='color:#111;'> 11B </span>","children":null,"spread":false},{"title":"run.f <span style='color:#111;'> 766B </span>","children":null,"spread":false},{"title":"run.f <span style='color:#111;'> 738B </span>","children":null,"spread":false},{"title":"run.f <span style='color:#111;'> 420B </span>","children":null,"spread":false},{"title":"run.f <span style='color:#111;'> 404B </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 26.03KB </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 26.03KB </span>","children":null,"spread":false},{"title":"vivado.jou <span style='color:#111;'> 686B </span>","children":null,"spread":false},{"title":"vivado.jou <span style='color:#111;'> 678B </span>","children":null,"spread":false},{"title":"vivado.jou <span style='color:#111;'> 661B </span>","children":null,"spread":false},{"title":"vivado.jou <span style='color:#111;'> 656B </span>","children":null,"spread":false},{"title":"ISEWrap.js <span style='color:#111;'> 8.18KB </span>","children":null,"spread":false},{"title":"ISEWrap.js <span style='color:#111;'> 8.18KB </span>","children":null,"spread":false},{"title":"ISEWrap.js <span style='color:#111;'> 8.18KB </span>","children":null,"spread":false},{"title":"ISEWrap.js <span style='color:#111;'> 8.18KB </span>","children":null,"spread":false},{"title":"rundef.js <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"rundef.js <span style='color:#111;'> 1.20KB </span>","children":null,"spread":false},{"title":"rundef.js <span style='color:#111;'> 1.20KB </span>","children":null,"spread":false},{"title":"rundef.js <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":"runme.log <span style='color:#111;'> 124.67KB </span>","children":null,"spread":false},{"title":"runme.log <span style='color:#111;'> 45.36KB </span>","children":null,"spread":false},{"title":"runme.log <span style='color:#111;'> 29.86KB </span>","children":null,"spread":false},{"title":"runme.log <span style='color:#111;'> 22.25KB </span>","children":null,"spread":false},{"title":"FIFO.lpr <span style='color:#111;'> 290B </span>","children":null,"spread":false},{"title":"elab.opt <span style='color:#111;'> 208B </span>","children":null,"spread":false},{"title":"elab.opt <span style='color:#111;'> 180B </span>","children":null,"spread":false},{"title":"vivado.pb <span style='color:#111;'> 199.03KB </span>","children":null,"spread":false},{"title":"vivado.pb <span style='color:#111;'> 75.34KB </span>","children":null,"spread":false},{"title":"vivado.pb <span style='color:#111;'> 37.30KB </span>","children":null,"spread":false},{"title":"route_design.pb <span style='color:#111;'> 15.02KB </span>","children":null,"spread":false},{"title":"place_design.pb <span style='color:#111;'> 11.96KB </span>","children":null,"spread":false},{"title":"opt_design.pb <span style='color:#111;'> 11.10KB </span>","children":null,"spread":false},{"title":"phys_opt_design.pb <span style='color:#111;'> 7.03KB </span>","children":null,"spread":false},{"title":"init_design.pb <span style='color:#111;'> 4.41KB </span>","children":null,"spread":false},{"title":"FIFO_power_summary_routed.pb <span style='color:#111;'> 722B </span>","children":null,"spread":false},{"title":"ila_0_utilization_synth.pb <span style='color:#111;'> 224B </span>","children":null,"spread":false},{"title":"FIFO_utilization_synth.pb <span style='color:#111;'> 224B </span>","children":null,"spread":false},{"title":"scfifo_utilization_synth.pb <span style='color:#111;'> 224B </span>","children":null,"spread":false},{"title":"FIFO_utilization_placed.pb <span style='color:#111;'> 224B </span>","children":null,"spread":false},{"title":"vivado.pb <span style='color:#111;'> 149B </span>","children":null,"spread":false},{"title":"FIFO_drc_routed.pb <span style='color:#111;'> 74B </span>","children":null,"spread":false},{"title":"FIFO_timing_summary_routed.pb <span style='color:#111;'> 70B </span>","children":null,"spread":false},{"title":"FIFO_methodology_drc_routed.pb <span style='color:#111;'> 53B </span>","children":null,"spread":false},{"title":"FIFO_route_status.pb <span style='color:#111;'> 44B </span>","children":null,"spread":false},{"title":"FIFO_drc_opted.pb <span style='color:#111;'> 37B </span>","children":null,"spread":false},{"title":"FIFO_bus_skew_routed.pb <span style='color:#111;'> 30B </span>","children":null,"spread":false},{"title":"vlog.prj <span style='color:#111;'> 194B </span>","children":null,"spread":false},{"title":"vlog.prj <span style='color:#111;'> 132B </span>","children":null,"spread":false},{"title":"vhdl.prj <span style='color:#111;'> 10B </span>","children":null,"spread":false},{"title":"FIFO_io_placed.rpt <span style='color:#111;'> 116.79KB </span>","children":null,"spread":false},{"title":"FIFO_methodology_drc_routed.rpt <span style='color:#111;'> 35.70KB </span>","children":null,"spread":false},{"title":"FIFO_timing_summary_routed.rpt <span style='color:#111;'> 32.67KB </span>","children":null,"spread":false},{"title":"FIFO_clock_utilization_routed.rpt <span style='color:#111;'> 13.12KB </span>","children":null,"spread":false},{"title":"FIFO_bus_skew_routed.rpt <span style='color:#111;'> 9.81KB </span>","children":null,"spread":false},{"title":"FIFO_utilization_placed.rpt <span style='color:#111;'> 8.58KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]