用VHDL设计CRC发生器和校验器

上传者: liuyin0463 | 上传时间: 2019-12-21 18:51:24 | 文件大小: 160KB | 文件类型: pdf
CRC
本设计是利用 VHDL 硬件描述语言设计 CRC 发生器和校验器 。12 位信息加 5 位 CRC 校验码发送 、 接收 ,由两个模块组成 ,CRC 校验生成模块 ( 发送) 和 CRC校验检错模块 ( 接收) ,采用输入,输出都为并行的 CRC校验 生 成 方 式 。产 生 此 CRC 码 可 利 用 Peterson 和Brown 提出的移位寄存器电路实现 。初始时置各寄存器为 0 ,信息位串从高位起逐位输入电路 ,每送入一位就进行一次异或操作和循环移位 ,由于信息位串为 12 位 ,所以经过 12 次操作后 ,4 个寄存器中的值就是冗余位 。

文件下载

评论信息

  • lxping2011 :
    评论迟了,代码运行结果还可以
    2012-09-07

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明