FPGA写的同步FIFO

上传者: liulei200200 | 上传时间: 2021-06-16 17:16:22 | 文件大小: 239KB | 文件类型: RAR
用verilog写的同步fifo,quartus工程,modelsim仿真

文件下载

资源详情

[{"title":"( 53 个子文件 239KB ) FPGA写的同步FIFO","children":[{"title":"调试好的同步fifo modlesim","children":[{"title":"testbench","children":[{"title":"wave.doc <span style='color:#111;'> 159.50KB </span>","children":null,"spread":false},{"title":"altsyncram.v <span style='color:#111;'> 3.76KB </span>","children":null,"spread":false},{"title":"fifo.v <span style='color:#111;'> 4.10KB </span>","children":null,"spread":false},{"title":"ram128x.v <span style='color:#111;'> 9.48KB </span>","children":null,"spread":false},{"title":"fifo_tb.v.bak <span style='color:#111;'> 1.54KB </span>","children":null,"spread":false},{"title":"proj","children":[{"title":"fifo.map.summary <span style='color:#111;'> 611B </span>","children":null,"spread":false},{"title":"fifo_nativelink_simulation.rpt <span style='color:#111;'> 957B </span>","children":null,"spread":false},{"title":"fifo.qpf <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"fifo.qsf <span style='color:#111;'> 3.18KB </span>","children":null,"spread":false},{"title":"fifo.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"fifo.map.rpt <span style='color:#111;'> 39.14KB </span>","children":null,"spread":false},{"title":"fifo.flow.rpt <span style='color:#111;'> 6.23KB </span>","children":null,"spread":false}],"spread":true},{"title":"fifo_tb.v <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"~$wave.doc <span style='color:#111;'> 162B </span>","children":null,"spread":false},{"title":"fifo.v.bak <span style='color:#111;'> 4.09KB </span>","children":null,"spread":false},{"title":"ram128x.qip <span style='color:#111;'> 282B </span>","children":null,"spread":false},{"title":"ram128x_bb.v <span style='color:#111;'> 7.79KB </span>","children":null,"spread":false},{"title":"fifo 网上没验证过的.v <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false}],"spread":false},{"title":"sim","children":[{"title":"fifo_tb_user.do <span style='color:#111;'> 486B </span>","children":null,"spread":false},{"title":"wlftniz1ff <span style='color:#111;'> 16.00KB </span>","children":null,"spread":false},{"title":"wlftgrmxds <span style='color:#111;'> 16.00KB </span>","children":null,"spread":false},{"title":"nco_vo_transcript <span style='color:#111;'> 123.25KB </span>","children":null,"spread":false},{"title":"wlftftj2i1 <span style='color:#111;'> 16.00KB </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"rtl_work","children":[{"title":"ram128x","children":[{"title":"_primary.dbs <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 15.62KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 471B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 7.38KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.98KB </span>","children":null,"spread":false}],"spread":true},{"title":"fifo","children":[{"title":"_primary.dbs <span style='color:#111;'> 5.16KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 28.23KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 716B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 5.75KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.86KB </span>","children":null,"spread":false}],"spread":true},{"title":"altsyncram","children":[{"title":"_primary.dbs <span style='color:#111;'> 3.40KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 27.31KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 3.38KB </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 11.89KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 2.35KB </span>","children":null,"spread":false}],"spread":true},{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"fifo_tb","children":[{"title":"_primary.dbs <span style='color:#111;'> 3.11KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 12.26KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 74B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 4.39KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false}],"spread":true},{"title":"_temp","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false}],"spread":true},{"title":"wlftn5ehx0 <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"wlft760sk8 <span style='color:#111;'> 16.00KB </span>","children":null,"spread":false},{"title":"fifo_tb.do <span style='color:#111;'> 7.88KB </span>","children":null,"spread":false},{"title":"fifo_tb.do.bak <span style='color:#111;'> 7.85KB </span>","children":null,"spread":false},{"title":"modelsim.ini <span style='color:#111;'> 53.85KB </span>","children":null,"spread":false},{"title":"wlftae53kj <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"fifo_tb_user.do.bak <span style='color:#111;'> 368B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明