verilog写的8位加法器

上传者: lhlhit163 | 上传时间: 2019-12-21 20:07:23 | 文件大小: 981KB | 文件类型: rar
verilog写的8位加法器,测试可用,完整程序,立马验证

文件下载

资源详情

[{"title":"( 58 个子文件 981KB ) verilog写的8位加法器","children":[{"title":"adder1.v <span style='color:#111;'> 113B </span>","children":null,"spread":false},{"title":"tb_adder8.v <span style='color:#111;'> 684B </span>","children":null,"spread":false},{"title":"adder4.v <span style='color:#111;'> 307B </span>","children":null,"spread":false},{"title":"adder8.v <span style='color:#111;'> 369B </span>","children":null,"spread":false},{"title":"work","children":[{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"adder4","children":[{"title":"_primary.dat <span style='color:#111;'> 575B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 360B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 1.88KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 5.45KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false}],"spread":true},{"title":"adder8","children":[{"title":"_primary.dat <span style='color:#111;'> 635B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 360B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 2.96KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 7.49KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 1.47KB </span>","children":null,"spread":false}],"spread":true},{"title":"adder1","children":[{"title":"_primary.dat <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 303B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 3.30KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 576B </span>","children":null,"spread":false}],"spread":true},{"title":"_temp","children":[{"title":"vlogdi3i9n <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"vlog8wd7ry <span style='color:#111;'> 451B </span>","children":null,"spread":false},{"title":"vlogdvdjsw <span style='color:#111;'> 41B </span>","children":null,"spread":false},{"title":"vlogqh77i6 <span style='color:#111;'> 540B </span>","children":null,"spread":false},{"title":"vlog6vwack <span style='color:#111;'> 819B </span>","children":null,"spread":false}],"spread":true},{"title":"adder_tb","children":[{"title":"_primary.dat <span style='color:#111;'> 817B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 76B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 2.63KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 10.34KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 1.67KB </span>","children":null,"spread":false}],"spread":true},{"title":"@_opt","children":[{"title":"voptdyw3t6 <span style='color:#111;'> 860B </span>","children":null,"spread":false},{"title":"voptj4r10d <span style='color:#111;'> 21.50KB </span>","children":null,"spread":false},{"title":"voptv1fg47 <span style='color:#111;'> 1.47KB </span>","children":null,"spread":false},{"title":"vopt4i1e6d <span style='color:#111;'> 1.67KB </span>","children":null,"spread":false},{"title":"voptz67gq6 <span style='color:#111;'> 312B </span>","children":null,"spread":false},{"title":"voptbvc83d <span style='color:#111;'> 604B </span>","children":null,"spread":false},{"title":"voptr6wnq6 <span style='color:#111;'> 576B </span>","children":null,"spread":false},{"title":"vopt64g7y6 <span style='color:#111;'> 360B </span>","children":null,"spread":false},{"title":"vopt2bta17 <span style='color:#111;'> 800B </span>","children":null,"spread":false},{"title":"vopt6mh9x6 <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"vopt12ci6d <span style='color:#111;'> 76B </span>","children":null,"spread":false},{"title":"_deps <span style='color:#111;'> 1.99KB </span>","children":null,"spread":false},{"title":"vopt82qb6d <span style='color:#111;'> 817B </span>","children":null,"spread":false},{"title":"voptzh4d47 <span style='color:#111;'> 635B </span>","children":null,"spread":false},{"title":"vopta576x6 <span style='color:#111;'> 575B </span>","children":null,"spread":false},{"title":"vopthqizn6 <span style='color:#111;'> 303B </span>","children":null,"spread":false},{"title":"voptki5t37 <span style='color:#111;'> 360B </span>","children":null,"spread":false},{"title":"voptvnhjq6 <span style='color:#111;'> 188B </span>","children":null,"spread":false},{"title":"voptfb253d <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"voptntjyxa <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false}],"spread":true},{"title":"tb_adder8.v.bak <span style='color:#111;'> 565B </span>","children":null,"spread":false},{"title":"adder1.v.bak <span style='color:#111;'> 110B </span>","children":null,"spread":false},{"title":"adder8.cr.mti <span style='color:#111;'> 959B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 1.20MB </span>","children":null,"spread":false},{"title":"adder8.v.bak <span style='color:#111;'> 368B </span>","children":null,"spread":false},{"title":"adder8.mpf <span style='color:#111;'> 54.97KB </span>","children":null,"spread":false},{"title":"adder4.v.bak <span style='color:#111;'> 292B </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • 南宫踏忆 :
    特别好用,直接放到vivado里就可以用了
    2019-05-24
  • 孙嘉玉 :
    对我来说很有用。
    2015-05-04
  • u010840976 :
    资源还是可以的
    2014-07-27
  • popcho :
    在其基础上可扩展到32位加法器~
    2013-05-24

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明