DE2的设计实例,适合初学者练习.本人编写检验过,绝对正确

上传者: keaideahu | 上传时间: 2021-06-28 17:03:45 | 文件大小: 372KB | 文件类型: ZIP
DE2的设计实例,适合初学者练习.本人编写检验过,绝对正确

文件下载

资源详情

[{"title":"( 90 个子文件 372KB ) DE2的设计实例,适合初学者练习.本人编写检验过,绝对正确","children":[{"title":"key_led1.0","children":[{"title":"key_led.map.rpt <span style='color:#111;'> 19.64KB </span>","children":null,"spread":false},{"title":"key_led.fit.smsg <span style='color:#111;'> 513B </span>","children":null,"spread":false},{"title":"key_led.tan.summary <span style='color:#111;'> 718B </span>","children":null,"spread":false},{"title":"key_led.sof <span style='color:#111;'> 821.38KB </span>","children":null,"spread":false},{"title":"key_led.fit.summary <span style='color:#111;'> 599B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"key_led.map_bb.cdb <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"key_led.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"key_led.cmp.cdb <span style='color:#111;'> 6.73KB </span>","children":null,"spread":false},{"title":"key_led.lpc.html <span style='color:#111;'> 430B </span>","children":null,"spread":false},{"title":"key_led.cmp1.ddb <span style='color:#111;'> 58.46KB </span>","children":null,"spread":false},{"title":"key_led.sgdiff.cdb <span style='color:#111;'> 2.44KB </span>","children":null,"spread":false},{"title":"key_led.cmp.kpt <span style='color:#111;'> 197B </span>","children":null,"spread":false},{"title":"key_led.cmp.hdb <span style='color:#111;'> 9.61KB </span>","children":null,"spread":false},{"title":"key_led.(0).cnf.hdb <span style='color:#111;'> 769B </span>","children":null,"spread":false},{"title":"key_led.sta.qmsg <span style='color:#111;'> 10.30KB </span>","children":null,"spread":false},{"title":"key_led.cbx.xml <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"key_led.cmp.bpm <span style='color:#111;'> 679B </span>","children":null,"spread":false},{"title":"key_led.pre_map.hdb <span style='color:#111;'> 8.97KB </span>","children":null,"spread":false},{"title":"key_led.rtlv_sg_swap.cdb <span style='color:#111;'> 177B </span>","children":null,"spread":false},{"title":"key_led.cmp_merge.kpt <span style='color:#111;'> 202B </span>","children":null,"spread":false},{"title":"prev_cmp_key_led.qmsg <span style='color:#111;'> 33.20KB </span>","children":null,"spread":false},{"title":"key_led.sld_design_entry.sci <span style='color:#111;'> 197B </span>","children":null,"spread":false},{"title":"key_led.cmp.rdb <span style='color:#111;'> 18.33KB </span>","children":null,"spread":false},{"title":"key_led.sld_design_entry_dsc.sci <span style='color:#111;'> 197B </span>","children":null,"spread":false},{"title":"key_led.tis_db_list.ddb <span style='color:#111;'> 173B </span>","children":null,"spread":false},{"title":"key_led.map_bb.hdb <span style='color:#111;'> 8.14KB </span>","children":null,"spread":false},{"title":"key_led.pre_map.cdb <span style='color:#111;'> 1.79KB </span>","children":null,"spread":false},{"title":"key_led.map.cdb <span style='color:#111;'> 2.48KB </span>","children":null,"spread":false},{"title":"key_led.sta_cmp.6_slow.tdb <span style='color:#111;'> 5.22KB </span>","children":null,"spread":false},{"title":"key_led.idb.cdb <span style='color:#111;'> 1.42KB </span>","children":null,"spread":false},{"title":"key_led.asm.qmsg <span style='color:#111;'> 2.14KB </span>","children":null,"spread":false},{"title":"key_led.asm_labs.ddb <span style='color:#111;'> 12.33KB </span>","children":null,"spread":false},{"title":"key_led.smart_action.txt <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"key_led.tmw_info <span style='color:#111;'> 304B </span>","children":null,"spread":false},{"title":"key_led.cmp0.ddb <span style='color:#111;'> 58.85KB </span>","children":null,"spread":false},{"title":"key_led.db_info <span style='color:#111;'> 138B </span>","children":null,"spread":false},{"title":"key_led.map.hdb <span style='color:#111;'> 9.10KB </span>","children":null,"spread":false},{"title":"key_led.(0).cnf.cdb <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"key_led.lpc.txt <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"key_led.asm.rdb <span style='color:#111;'> 1.43KB </span>","children":null,"spread":false},{"title":"key_led.fit.qmsg <span style='color:#111;'> 16.64KB </span>","children":null,"spread":false},{"title":"key_led.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"key_led.hier_info <span style='color:#111;'> 230B </span>","children":null,"spread":false},{"title":"key_led.map.bpm <span style='color:#111;'> 661B </span>","children":null,"spread":false},{"title":"key_led.ae.hdb <span style='color:#111;'> 8.63KB </span>","children":null,"spread":false},{"title":"key_led.sgdiff.hdb <span style='color:#111;'> 9.07KB </span>","children":null,"spread":false},{"title":"key_led.rtlv_sg.cdb <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"key_led.lpc.rdb <span style='color:#111;'> 388B </span>","children":null,"spread":false},{"title":"key_led.rtlv.hdb <span style='color:#111;'> 8.96KB </span>","children":null,"spread":false},{"title":"key_led.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"key_led.amm.cdb <span style='color:#111;'> 225B </span>","children":null,"spread":false},{"title":"key_led.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 1.98KB </span>","children":null,"spread":false},{"title":"key_led.map.kpt <span style='color:#111;'> 198B </span>","children":null,"spread":false},{"title":"key_led.map.qmsg <span style='color:#111;'> 3.62KB </span>","children":null,"spread":false},{"title":"key_led.sta.rdb <span style='color:#111;'> 6.26KB </span>","children":null,"spread":false},{"title":"key_led.hif <span style='color:#111;'> 758B </span>","children":null,"spread":false}],"spread":false},{"title":"key_led.sta.summary <span style='color:#111;'> 223B </span>","children":null,"spread":false},{"title":"key_led.qws <span style='color:#111;'> 531B </span>","children":null,"spread":false},{"title":"key_led.pof <span style='color:#111;'> 512.18KB </span>","children":null,"spread":false},{"title":"key_led_assignment_defaults.qdf <span style='color:#111;'> 47.30KB </span>","children":null,"spread":false},{"title":"key_led.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"key_led.root_partition.cmp.rcfdb <span style='color:#111;'> 3.03KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.dpi <span style='color:#111;'> 683B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.cdb <span style='color:#111;'> 2.38KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.kpt <span style='color:#111;'> 201B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"key_led.root_partition.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.cdb <span style='color:#111;'> 603B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.sig <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.hdb <span style='color:#111;'> 8.81KB </span>","children":null,"spread":false},{"title":"key_led.db_info <span style='color:#111;'> 138B </span>","children":null,"spread":false},{"title":"key_led.root_partition.cmp.hdb <span style='color:#111;'> 9.24KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hdb <span style='color:#111;'> 8.96KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.cmp.cdb <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.cmp.kpt <span style='color:#111;'> 199B </span>","children":null,"spread":false},{"title":"key_led.root_partition.cmp.dfp <span style='color:#111;'> 33B </span>","children":null,"spread":false}],"spread":false},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"key_led.sta.rpt <span style='color:#111;'> 36.13KB </span>","children":null,"spread":false},{"title":"key_led.v.bak <span style='color:#111;'> 505B </span>","children":null,"spread":false},{"title":"key_led.asm.rpt <span style='color:#111;'> 9.08KB </span>","children":null,"spread":false},{"title":"key_led.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"key_led.flow.rpt <span style='color:#111;'> 7.39KB </span>","children":null,"spread":false},{"title":"key_led.qpf <span style='color:#111;'> 907B </span>","children":null,"spread":false},{"title":"Tcl_script1.tcl <span style='color:#111;'> 924B </span>","children":null,"spread":false},{"title":"key_led.tan.rpt <span style='color:#111;'> 12.01KB </span>","children":null,"spread":false},{"title":"key_led.map.summary <span style='color:#111;'> 455B </span>","children":null,"spread":false},{"title":"key_led.fit.rpt <span style='color:#111;'> 178.55KB </span>","children":null,"spread":false},{"title":"key_led.pin <span style='color:#111;'> 76.86KB </span>","children":null,"spread":false},{"title":"key_led.qsf <span style='color:#111;'> 3.48KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明