MATLAB,Simulink与Modelsim联合仿真步骤及实例

上传者: izeukin | 上传时间: 2021-07-03 16:30:30 | 文件大小: 464KB | 文件类型: PDF
MATLAB,Simulink与Modelsim联合仿真步骤及实例

文件下载

评论信息

  • weixin_42417720 :
    modelsim的资料
    2020-05-06
  • xiaozcg :
    比较实用的资源,谢谢楼主分享
    2013-03-06
  • annyanny2001 :
    描述清晰,步骤详细,能够实现matlab仿真到硬件描述语言的转换,好文档!8分,太贵了
    2011-11-16
  • zhouyk616 :
    很好,关键是能由M代码产生硬件描述语言文件,省时省力
    2011-11-13
  • zongzhiyuan :
    非常好的资源!实现跨软件操作!
    2011-11-03

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明