VHDL实现基于ROM的正弦波发生器的设计

上传者: haorenka2010 | 上传时间: 2019-12-21 19:50:42 | 文件大小: 64KB | 文件类型: rar
设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。

文件下载

资源详情

[{"title":"( 1 个子文件 64KB ) VHDL实现基于ROM的正弦波发生器的设计","children":[{"title":"基于ROM的正弦波发生器的设计.docx <span style='color:#111;'> 74.84KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • xinren1234 :
    能运行,就是仿真时不知道怎么弄出正弦波
    2014-05-08
  • daodao2008 :
    程序可以,但是用modelsim仿真时,不是很理想,查找原因中……
    2013-07-19
  • banG_Gnab :
    很好,可直接运行!
    2012-11-09
  • tottimerda :
    不错,下载了直接可以运行
    2012-10-22
  • hd2288 :
    可编译通过。
    2012-07-04

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明