AD9851扫描仪FPGA设计方案Verilog逻辑源码Quartus工程文件+设计说明文档资料.rar

上传者: guoruibin123 | 上传时间: 2021-08-12 13:04:15 | 文件大小: 3.67MB | 文件类型: RAR
AD9851扫描仪FPGA设计方案Verilog逻辑源码Quartus工程文件+设计说明文档资料 硬件需求: 1、 AD9851模块。 2、 扩展板2号一个。 3、 可以插AD9851模块和块展板2号的FPGA核心板一个。 设计规格: 4、 可以选择扫频输出,固定频率输出。 5、 固定频率输出要求输入频率固定。 6、 扫频输出要求输入扫频范围、频率步进值。 7、 固定频率最大输出为40Mhz,步进为100KHZ,要求频率输入位宽为12bit,最大输入为0Xfff,代表40Mhz。 8、 扫描时间要求<=2S。 AD9851特性: 1、 2、 相位通过W0的高5bit控制,精度为360/32=11.25度。 3、 频率通过频率控制字控制,32bit数值。 100Khz 控制字为 0.1Mhz*2的32次方/180Mhz = 2,386,092(16进制 24 68AC) 1Mhz 控制字为 1Mhz*2的32次方/180Mhz =23,860,929(16进制16C 16C1) 40Mhz 控制字为 40Mhz*2的32次方/180Mhz = 954,437,176(16进制 38E3 8E38) 4、 AD9851复位时序: module FREQ_SCAN ( //input input sys_clk , input sys_rst_n , input [3:0] key_row , output wire [3:0] key_col , input scan_mod , // use switch 0 , 0 is input freq, 1 is scan freq input scan_freq_step_set , // use switch 1 , 1 is set freq step input scan_freq_bound_set , // use switch 1 , 1 is set scan freq input scan_freq_bound_sel , // use switch 2 , 0 is min freq, 1 is max freq input key_is_done , // use key is ok, 0 is active input key_rst_req , // use key clear, 0 is active //output output reg ad9851_w_clk , output reg ad9851_fq_up , output reg ad9851_reset , output reg [7:0] ad9851_data ); //reg define reg [25:0] clk_cnt ; reg key_is_done_dly1 ; reg scan_freq_step_set_dly1 ; reg scan_freq_bound_set_dly1 ; reg scan_freq_bound_sel_dly1 ; reg [7:0] reset_cnt0 ; reg [7:0] write_cnt ; reg [31:0] key_input_lock ; reg [31:0] ctrl_word ; reg [31:0] scan_freq_ctrl_word ; reg [31:0] scan_freq_mi

文件下载

资源详情

[{"title":"( 22 个子文件 3.67MB ) AD9851扫描仪FPGA设计方案Verilog逻辑源码Quartus工程文件+设计说明文档资料.rar","children":[{"title":"FREQ_SCAN_V1.0","children":[{"title":"FREQ_SCAN.flow.rpt <span style='color:#111;'> 8.05KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.qpf <span style='color:#111;'> 912B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.sta.rpt <span style='color:#111;'> 50.93KB </span>","children":null,"spread":false},{"title":"详细设计方案","children":[{"title":"扫频仪设计方案 V2.0.docx <span style='color:#111;'> 4.75MB </span>","children":null,"spread":false}],"spread":true},{"title":"FREQ_SCAN.sof <span style='color:#111;'> 349.92KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.asm.rpt <span style='color:#111;'> 7.58KB </span>","children":null,"spread":false},{"title":"说明.txt <span style='color:#111;'> 49B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.pin <span style='color:#111;'> 19.88KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.map.rpt <span style='color:#111;'> 29.78KB </span>","children":null,"spread":false},{"title":"RTL","children":[{"title":"KEY_4x4.v <span style='color:#111;'> 3.81KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.v <span style='color:#111;'> 10.67KB </span>","children":null,"spread":false}],"spread":true},{"title":"FREQ_SCAN.fit.smsg <span style='color:#111;'> 513B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.map.summary <span style='color:#111;'> 466B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.sta.summary <span style='color:#111;'> 223B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.pof <span style='color:#111;'> 512.18KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.fit.summary <span style='color:#111;'> 606B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.qsf <span style='color:#111;'> 5.33KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.sdc <span style='color:#111;'> 54B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.cdf <span style='color:#111;'> 318B </span>","children":null,"spread":false},{"title":"FREQ_SCAN.fit.rpt <span style='color:#111;'> 177.07KB </span>","children":null,"spread":false},{"title":"FREQ_SCAN.jdi <span style='color:#111;'> 21B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明