EDA课程设计-正负脉宽调制信号发生器设计

上传者: graduate_2017 | 上传时间: 2021-04-13 20:06:38 | 文件大小: 345KB | 文件类型: ZIP
设计一个正负脉宽数控调制信发生器。要求能够输出正负脉宽数控的脉冲波、正脉冲调制的脉冲波和负脉冲调制的脉冲波形。实验中的时钟信号选择时钟模块的1MHz 信号,用拨挡开关模块的K1~K4 作为正脉冲脉宽的输入,用K5~K8 作为负脉冲脉宽的输入,用按键开关模块中的S1 所谓模式选择键,每按下一次,输出的脉冲波形改变一次,依次为原始脉冲波、正脉冲调制波和负脉冲调制波形。波形输出至实验箱观测模块的探针,以便示波器观察。

文件下载

资源详情

[{"title":"( 116 个子文件 345KB ) EDA课程设计-正负脉宽调制信号发生器设计","children":[{"title":"pluse.qpf <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"Waveform2.vwf <span style='color:#111;'> 7.72KB </span>","children":null,"spread":false},{"title":"Waveform.vwf <span style='color:#111;'> 9.50KB </span>","children":null,"spread":false},{"title":"pluse.qsf <span style='color:#111;'> 4.13KB </span>","children":null,"spread":false},{"title":"Waveform1.vwf <span style='color:#111;'> 3.04KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明