URAT VHDL程序与仿真

上传者: geqiqi1314 | 上传时间: 2020-01-03 11:20:50 | 文件大小: 32KB | 文件类型: rar
URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真

文件下载

资源详情

[{"title":"( 1 个子文件 32KB ) URAT VHDL程序与仿真","children":[{"title":"URAT_VHDL .doc <span style='color:#111;'> 86.00KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • bear4fox :
    和我借的一本书里的内容一样,接收模块波形不对啊!信息量不大
    2011-11-03

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明