如何实现小数分频?(verilog)

上传者: dddsanyoddd | 上传时间: 2021-07-04 17:05:05 | 文件大小: 385KB | 文件类型: RAR
奇数、偶数分频非常常用,但是有些时候我们必须使用小数的分频,如何做到?该文章详细讲述了此方法。

文件下载

资源详情

[{"title":"( 1 个子文件 385KB ) 如何实现小数分频?(verilog)","children":[{"title":"华为小数分频专利.pdf <span style='color:#111;'> 405.20KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • u011001281 :
    有一定用处
    2014-12-24
  • diaorunze :
    可以用但是输出波形不是横好,不能实现50%占空比
    2014-03-14
  • ayw555888 :
    讲的还比较细致
    2014-01-24
  • daboboxiao :
    可以用,还可以把
    2013-11-27
  • qiongqiong303 :
    有用,但不是很完美啊,正如楼上说的
    2013-05-31

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明