verilog_apb.zip

上传者: baidu_34971492 | 上传时间: 2021-07-06 15:00:35 | 文件大小: 430KB | 文件类型: ZIP
fpga verilog实现amba apb总线协议,有testbench和协议文档

文件下载

资源详情

[{"title":"( 22 个子文件 430KB ) verilog_apb.zip","children":[{"title":"verilog_apb","children":[{"title":"hdl","children":[{"title":"apb_wrtsetclr.v <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"apb_regs1.v <span style='color:#111;'> 2.51KB </span>","children":null,"spread":false},{"title":"apb_levirq.v <span style='color:#111;'> 2.33KB </span>","children":null,"spread":false},{"title":"apb_edgeirq.v <span style='color:#111;'> 3.30KB </span>","children":null,"spread":false},{"title":"apb_fastdecode.v <span style='color:#111;'> 2.95KB </span>","children":null,"spread":false},{"title":"apb_pulse.v <span style='color:#111;'> 2.44KB </span>","children":null,"spread":false},{"title":"apb_fifo.v <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"apb_regs.v <span style='color:#111;'> 2.92KB </span>","children":null,"spread":false},{"title":"apb_decode.v <span style='color:#111;'> 3.78KB </span>","children":null,"spread":false}],"spread":true},{"title":"docs","children":[{"title":"ARM_AMBA3_APB.pdf <span style='color:#111;'> 308.58KB </span>","children":null,"spread":false},{"title":"apb.pdf <span style='color:#111;'> 233.90KB </span>","children":null,"spread":false}],"spread":true},{"title":"tb","children":[{"title":"apb_regs1_test.v <span style='color:#111;'> 2.31KB </span>","children":null,"spread":false},{"title":"apb_wrtsetclr_test.v <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"apb_fifo_test.v <span style='color:#111;'> 3.43KB </span>","children":null,"spread":false},{"title":"apb_bus.v <span style='color:#111;'> 5.95KB </span>","children":null,"spread":false},{"title":"apb_levirq_test.v <span style='color:#111;'> 3.42KB </span>","children":null,"spread":false},{"title":"apb_pulse_test.v <span style='color:#111;'> 2.86KB </span>","children":null,"spread":false},{"title":"apb_decode_test.v <span style='color:#111;'> 4.94KB </span>","children":null,"spread":false},{"title":"apb_regs_test.v <span style='color:#111;'> 2.58KB </span>","children":null,"spread":false},{"title":"apb_fastdecode_test.v <span style='color:#111;'> 4.19KB </span>","children":null,"spread":false},{"title":"apb_edgeirq_test.v <span style='color:#111;'> 3.90KB </span>","children":null,"spread":false},{"title":"sync_fifo.v <span style='color:#111;'> 4.12KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}]

评论信息

  • m0_49103183 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-14
  • GYZLOVER :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-01
  • qq_37920615 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-08-31
  • weixin_43848051 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-08-20
  • weixin_47737022 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-07-20

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明