IEEE Std 1800-2017 SystemVerilog - 统一的硬件设计、规范和验证语言 - 完整英文电子版(1315页)

上传者: Johnho130 | 上传时间: 2021-07-14 09:06:21 | 文件大小: 10.89MB | 文件类型: PDF
完整英文电子版 IEEE Std 1800-2017 SystemVerilog—Unified Hardware Design,Specification, and Verification Language (SystemVerilog - 统一的硬件设计、规范和验证语言)。 该标准开发了 IEEE 1800 SystemVerilog 语言,以满足该语言在硬件规范、设计和验证中日益增加的使用。 此修订版更正了 IEEE Std 1800-2012.1 中语言定义的错误并澄清了各个方面。此修订版还提供了增强功能,可简化设计、改进验证和增强跨语言交互。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明