西南交通大学EDA实验6报告加代码:FIFO 设计及验证

上传者: IUmywife | 上传时间: 2024-05-24 14:16:31 | 文件大小: 5.79MB | 文件类型: ZIP
swjtu电子设计自动化(EDA)实验6报告

文件下载

资源详情

[{"title":"( 198 个子文件 5.79MB ) 西南交通大学EDA实验6报告加代码:FIFO 设计及验证","children":[{"title":"_info <span style='color:#111;'> 17.30KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.cmp.ammdb <span style='color:#111;'> 452B </span>","children":null,"spread":false},{"title":"FIFO1.vpr.ammdb <span style='color:#111;'> 359B </span>","children":null,"spread":false},{"title":"FIFO1.map.ammdb <span style='color:#111;'> 129B </span>","children":null,"spread":false},{"title":"FIFO2_tb.v.bak <span style='color:#111;'> 2.62KB </span>","children":null,"spread":false},{"title":"FIFO1.v.bak <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"FIFO1_tb.v.bak <span style='color:#111;'> 667B </span>","children":null,"spread":false},{"title":"FIFO1.cmp.bpm <span style='color:#111;'> 761B </span>","children":null,"spread":false},{"title":"FIFO1.map.bpm <span style='color:#111;'> 731B </span>","children":null,"spread":false},{"title":"FIFO1.cmp.cdb <span style='color:#111;'> 22.55KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.cmp.cdb <span style='color:#111;'> 10.37KB </span>","children":null,"spread":false},{"title":"FIFO1.(0).cnf.cdb <span style='color:#111;'> 8.49KB </span>","children":null,"spread":false},{"title":"FIFO1.map.cdb <span style='color:#111;'> 7.87KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.cdb <span style='color:#111;'> 7.42KB </span>","children":null,"spread":false},{"title":"FIFO1.rtlv_sg.cdb <span style='color:#111;'> 6.87KB </span>","children":null,"spread":false},{"title":"FIFO1.map_bb.cdb <span style='color:#111;'> 2.12KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.56KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.reg_db.cdb <span style='color:#111;'> 361B </span>","children":null,"spread":false},{"title":"FIFO1.rtlv_sg_swap.cdb <span style='color:#111;'> 204B </span>","children":null,"spread":false},{"title":"FIFO1.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"FIFO1.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"FIFO2.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"FIFO1.tiscmp.slow_1200mv_0c.ddb <span style='color:#111;'> 139.11KB </span>","children":null,"spread":false},{"title":"FIFO1.tiscmp.slow_1200mv_85c.ddb <span style='color:#111;'> 139.04KB </span>","children":null,"spread":false},{"title":"FIFO1.tiscmp.fast_1200mv_0c.ddb <span style='color:#111;'> 137.75KB </span>","children":null,"spread":false},{"title":"FIFO1.tiscmp.fastest_slow_1200mv_85c.ddb <span style='color:#111;'> 109.84KB </span>","children":null,"spread":false},{"title":"FIFO1.tiscmp.fastest_slow_1200mv_0c.ddb <span style='color:#111;'> 109.71KB </span>","children":null,"spread":false},{"title":"FIFO1.asm_labs.ddb <span style='color:#111;'> 7.91KB </span>","children":null,"spread":false},{"title":"FIFO1.tis_db_list.ddb <span style='color:#111;'> 302B </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.cmp.dfp <span style='color:#111;'> 33B </span>","children":null,"spread":false},{"title":"FIFO1.do <span style='color:#111;'> 555B </span>","children":null,"spread":false},{"title":"实验6.docx <span style='color:#111;'> 912.25KB </span>","children":null,"spread":false},{"title":"FIFO1.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.dpi <span style='color:#111;'> 770B </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"FIFO1.cmp.hdb <span style='color:#111;'> 13.43KB </span>","children":null,"spread":false},{"title":"FIFO1.rrp.hdb <span style='color:#111;'> 13.37KB </span>","children":null,"spread":false},{"title":"FIFO1.map.hdb <span style='color:#111;'> 12.43KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.cmp.hdb <span style='color:#111;'> 12.10KB </span>","children":null,"spread":false},{"title":"FIFO1.pre_map.hdb <span style='color:#111;'> 11.38KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.hbdb.hdb <span style='color:#111;'> 11.27KB </span>","children":null,"spread":false},{"title":"FIFO1.rtlv.hdb <span style='color:#111;'> 11.23KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.hdb <span style='color:#111;'> 10.96KB </span>","children":null,"spread":false},{"title":"FIFO1.map_bb.hdb <span style='color:#111;'> 9.69KB </span>","children":null,"spread":false},{"title":"FIFO1.(0).cnf.hdb <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"FIFO1.hier_info <span style='color:#111;'> 5.24KB </span>","children":null,"spread":false},{"title":"FIFO1.hif <span style='color:#111;'> 473B </span>","children":null,"spread":false},{"title":"FIFO1.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd <span style='color:#111;'> 728.94KB </span>","children":null,"spread":false},{"title":"FIFO1.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd <span style='color:#111;'> 727.39KB </span>","children":null,"spread":false},{"title":"FIFO1.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd <span style='color:#111;'> 723.13KB </span>","children":null,"spread":false},{"title":"FIFO1.lpc.html <span style='color:#111;'> 372B </span>","children":null,"spread":false},{"title":"FIFO1.cmp.idb <span style='color:#111;'> 1.72KB </span>","children":null,"spread":false},{"title":"FIFO1.jdi <span style='color:#111;'> 223B </span>","children":null,"spread":false},{"title":"FIFO1_partition_pins.json <span style='color:#111;'> 1.49KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.map.kpt <span style='color:#111;'> 1.35KB </span>","children":null,"spread":false},{"title":"FIFO1.map.kpt <span style='color:#111;'> 1.35KB </span>","children":null,"spread":false},{"title":"FIFO1.cmp_merge.kpt <span style='color:#111;'> 207B </span>","children":null,"spread":false},{"title":".cmp.kpt <span style='color:#111;'> 203B </span>","children":null,"spread":false},{"title":"FIFO1.cmp.logdb <span style='color:#111;'> 14.91KB </span>","children":null,"spread":false},{"title":"FIFO1.root_partition.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"FIFO1.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"FIFO1.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"FIFO2.mpf <span style='color:#111;'> 100.32KB </span>","children":null,"spread":false},{"title":"FIFO1_tb.mpf <span style='color:#111;'> 99.77KB </span>","children":null,"spread":false},{"title":"APB_tb.mpf <span style='color:#111;'> 98.68KB </span>","children":null,"spread":false},{"title":"FIFO2.cr.mti <span style='color:#111;'> 4.39KB </span>","children":null,"spread":false},{"title":"FIFO1_tb.cr.mti <span style='color:#111;'> 475B </span>","children":null,"spread":false},{"title":"APB_tb.cr.mti <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"FIFO1.pin <span style='color:#111;'> 20.31KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 64.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib5_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib3_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib4_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib2_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib3_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib4_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib2_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib3_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib4_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib2_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib5_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib3_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib4_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib2_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_4.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"IP.qip <span style='color:#111;'> 338B </span>","children":null,"spread":false},{"title":"IP.qip <span style='color:#111;'> 338B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明