4通道12bit位宽的DA芯片AD5724 Verilog驱动源码+芯片技术手册.zip

上传者: GZXGYZ | 上传时间: 2021-07-08 21:03:16 | 文件大小: 5.67MB | 文件类型: ZIP
4通道12bit位宽的DA芯片AD5724 Verilog驱动源码+芯片技术手册: odule ad5724_ctrl #( parameter DATA_WIDTH = 12 , parameter RANG_WIDTH = 16 )( input wire clk , //这里的时钟频率为50M,如果降低时钟频率请同步降低触发周期i_trig // 提高频率需要代码中o_da5724_sclk的周期 input wire rst_n , input wire i_trig , //DA输出数据更新触发信号,在50M时钟频率下可以设置为5us input wire [DATA_WIDTH - 1 : 0] i_ch1_data , input wire [DATA_WIDTH - 1 : 0] i_ch2_data , input wire [DATA_WIDTH - 1 : 0] i_ch3_data , input wire [DATA_WIDTH - 1 : 0] i_ch4_data , input wire [RANG_WIDTH - 1 : 0] i_out_range , //设置为16'd4 表示输出正负10V input wire i_ad_en , input wire i_da5724_sdout , output wire o_da5724_sclk , output wire o_da5724_sdin , output wire o_da5724_sync_n , output wire o_da5724_ldac_n , output wire o_da5724_clr_n ); localparam POWER_CFG_REF = 24'h10000f ; localparam DV_RANG_CFG_REF = 8'h0c ; localparam CH_A_REF = 8'h00 ; localparam CH_B_REF = 8'h01 ; localparam CH_C_REF = 8'h02 ; localparam CH_D_REF = 8'h03 ; localparam CH_NUM_WIDTH = 2 ; localparam CFG_NUM_WIDTH = 3 ; localparam WAIT_CNT_WIDTH = 4 ; localparam DAC_DATA_WIDTH = 16 ; localparam REG_DATA_WIDTH = 24 ; localparam TRAN_CNT_WIDTH = 5 ; localparam CTRL_ST_WIDTH = 10 ; localparam IDLE = 10'b0000000001 , INIT_PWR = 10'b0000000010 , TRANS_OP_HIGH = 10'b0000000100 , TRANS_OP_LOW = 10'b0000001000 , LDAC_SET = 10'b00000100

文件下载

资源详情

[{"title":"( 3 个子文件 5.67MB ) 4通道12bit位宽的DA芯片AD5724 Verilog驱动源码+芯片技术手册.zip","children":[{"title":"AD5754_evalSoftware","children":[{"title":"AD5754setup_rev1.8.exe <span style='color:#111;'> 4.58MB </span>","children":null,"spread":false}],"spread":true},{"title":"AD5724.pdf <span style='color:#111;'> 1.18MB </span>","children":null,"spread":false},{"title":"ad5724_ctrl.v <span style='color:#111;'> 10.06KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • qq_42550657 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-30
  • qq_41972901 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-29
  • u011033316 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-08
  • weixin_40137734 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-08-06

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明