EP4CE6E22C8 CYCLONE4 FPGA最小系统核心板ALTIUM设计硬件原理图+PCB文件.zip

上传者: GZXGYZ | 上传时间: 2021-06-10 20:03:25 | 文件大小: 36.7MB | 文件类型: ZIP
EP4CE6E22C8 CYCLONE4 FPGA最小系统核心板ALTIUM设计硬件原理图+PCB+AD集成封装库文件,硬件2层板设计,大小为57x54mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,可作为你的产品设计的参考。 核心板集成库器件型号列表如下: Library Component Count : 15 Name Description ---------------------------------------------------------------------------------------------------- AMS1117 3.3Voutput,4.3-12Vinput LDO CH340G Cap Capacitor Cap Semi Capacitor (Semiconductor SIM Model) EP4CE6E22C8 CYCLONE IV E FPGA EP4CE6E22C8 144Pins speed 8 Header 20X2 Header, 20-Pin, Dual row Header 5X2 Header, 5-Pin, Dual row LED0 Typical INFRARED GaAs LED OLED_SPI 1.3寸或0.96寸SPI接口的OLED Res2 Resistor SW-PB Switch W25Q64 FLASH XTAL Crystal Oscillator micro USB USB On-The-Go (OTG) Mini-B Receptacle, Right Angle, SMT, 0.80mm (.031") Pitch, Solder Tabs with Back Cover, Recessed Type 有源晶振

文件下载

资源详情

[{"title":"( 5 个子文件 36.7MB ) EP4CE6E22C8 CYCLONE4 FPGA最小系统核心板ALTIUM设计硬件原理图+PCB文件.zip","children":[{"title":"EP4CE6E22C8最小系统.PrjPCB <span style='color:#111;'> 51.02KB </span>","children":null,"spread":false},{"title":"EP4CE6E22C8最小系统.pdf <span style='color:#111;'> 718.82KB </span>","children":null,"spread":false},{"title":"EP4CE6E22C8最小系统.PcbDoc <span style='color:#111;'> 37.29MB </span>","children":null,"spread":false},{"title":"EP4CE6E22C8最小系统.IntLib <span style='color:#111;'> 62.50KB </span>","children":null,"spread":false},{"title":"EP4CE6E22C8最小系统.SchDoc <span style='color:#111;'> 1.09MB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • jamsebond007 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-07-10

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明