USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用.zip

上传者: GJZGRB | 上传时间: 2021-03-02 22:04:37 | 文件大小: 8KB | 文件类型: ZIP
USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用,共6个VERILOG module 模块文件,可以用于你的设计参考。 module FT245BM_test( input wire rst_in, //板上复位信号 input wire clk_in, //40M晶振 output wire rtl8208b_rst, //rtl8208B复位信号 //DVI接口 input wire pclk, input wire vs, input wire blank, input wire [7:0] rdata, input wire [7:0] gdata, input wire [7:0] bdata, //USB接口 input wire RXF_n, output wire RD_n, inout tri [7:0] usb_dd, input wire TXE_n, output wire WR, output reg [63:0] data_num, //SDRAM接口 /* output wire sa_clk, output wire [4:0] sa_cnt, output wire [3:0] sa_dqm, output wire [11:0] sa_addr, output wire [1:0] sa_bank, inout wire [31:0] sa_data,

文件下载

资源详情

[{"title":"( 6 个子文件 8KB ) USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用.zip","children":[{"title":"FT245BM_test","children":[{"title":"design","children":[{"title":"usb_phy_tx.v <span style='color:#111;'> 2.47KB </span>","children":null,"spread":false},{"title":"FT245BM_test.v <span style='color:#111;'> 4.30KB </span>","children":null,"spread":false},{"title":"Led_Ctrl_SV1.v <span style='color:#111;'> 4.43KB </span>","children":null,"spread":false},{"title":"crmu_01.v <span style='color:#111;'> 3.12KB </span>","children":null,"spread":false},{"title":"pll.v <span style='color:#111;'> 9.76KB </span>","children":null,"spread":false},{"title":"usb_phy_rx.v <span style='color:#111;'> 2.38KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • zrbjmxj1881 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-04-07

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明