循环冗余校验(C循环冗余校验(CRC)模块设计 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电
1
eda频率计 电路图 单个模块 代码生成模块
2021-04-22 21:33:10 8.42MB eda 频率计 1~9999
1
根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号。这3个信号可以由一个测频控制信号发生器产生,即图7-1中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要产生一个锁存信号LOAD,在该信号上升沿时,将计数器在前1秒钟的计数值锁存进各锁存器REG4B中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一清零信号RST_CNT对计数器进行清零,为下1秒钟的计数操作作准备。其工作时序波形如图7-2。
2021-04-22 19:32:33 67KB 4位十进制频率计原理与设计
1
EDA技术实用教程 VHDL版(第四版) 潘松 科学出版社
2021-04-22 19:23:34 41.25MB EDA VHDL
1
基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号 door : out std_logic_vector(1 downto 0); --电梯门控制信号 led : out std_logic_vector(6 downto 0); --电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示
2021-04-21 23:14:48 35KB 控制器
1
十二届蓝桥杯 EDA设计 真题 设计题目资源包
2021-04-21 09:04:56 1.40MB 蓝桥杯 EDA 十二届
1
摘要: SPI 总线是一个同步串行接口的数据总线,具有全双工、信号线少、协议简单、传输速度快等特点。介绍了SPI 总线的结构和工作原理,对4 种工作模式的异同进行了比较,并着重分析了SPI 总线的工作时序。利用Verilog 硬件描述语言编写出SPI 总线的主机模块,经ModelSim 仿真得出相应的仿真波形。根据仿真波形分析,所设计的SPI 主机模块的功能是正确的。最后在Xilinx ISE 中对该模块进行综合与实现,并在FPGA 上完成了下载与验证。   引言   SPI(串行外围接口)总线,是一个同步串行接口的数据总线,它具有全双工、信号线少、协议简单、传输速度快等优点。由于串行总线
1
1.可容纳四组参赛者进行抢答,每组设置一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人交系统复位并发出抢答指令后,若有一组先按下抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别,同时扬声器发出“嘀嘟”的双音音响,且持续2~3秒。此时,电路具备自锁功能,使他组的抢答开关不起作用。 3.具有计分功能,使每组在开始时的分数预置成100分,抢答后由主持人计分,答对一次加10分。
2021-04-19 16:13:55 1.01MB EDA 抢答器 竞赛
1
周立功 出的Smart SOPC FPGA平台实验手册。从点亮LED开始教学。非常细致。
2021-04-18 14:13:10 103.75MB EDA FPGA QUARTUS
1
基于EDA的汽车尾灯控制系统,采用verilog语言以及QuartusII开发环境
2021-04-18 14:06:11 334KB EDA,汽车尾灯控制系统
1