《ERP财务管理》课程实验指导
2022-06-19 19:04:22 474KB 文档资料
《ERP软件应用》实验指导书——优秀实验指导书范文模板
2022-06-19 19:04:21 787KB 文档资料
教学设计指导.doc.pdf,这是一份不错的文件
2022-06-19 18:04:34 168KB 文档
电商模拟综合实训指导书.doc
2022-06-19 16:00:39 10.53MB 互联网
电厂水处理值班员职业技能鉴定指导书(第二版).doc
2022-06-19 16:00:37 587KB 互联网
用友ERP操作规范指导手册PMC部.docx
2022-06-19 16:00:25 5.18MB 互联网
用友ERP指导手册.docx
2022-06-19 16:00:24 1.62MB 互联网
PWM信号发生器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Pwm is port( clk: in std_logic; --clk signal wr_n:in std_logic; --write signal addr:in std_logic; --address signal WrData:in std_logic_vector(7 downto 0); --writedata signal PwmOut:out std_logic); --Global signal end Pwm; architecture one of Pwm is signal period:std_logic_vector(7 downto 0); signal duty:std_logic_vector(7 downto 0); signal counter:std_logic_vector(7 downto 0); Begin process(clk,WrData) begin if rising_edge(clk) then if (wr_n='0') then if addr='0' then period<=WrData; duty<=duty; else period<=period; duty<=WrData; end if; else period<=period; duty<=duty; end if; end if; end process; process(clk) begin if rising_edge(clk) then if counter=0 then counter<=period; else counter<=counter-1; end if; if counter>duty then PwmOut<='0'; else PwmOut<='1'; end if; end if; end process; end one;
2022-06-19 14:28:17 20.91MB vhdl
1
翻频数据采集指导书.docx
2022-06-19 12:00:11 1.22MB 互联网
【经典】XX指导中心 职业技能鉴定考试网络管理系统 采购项目招标文件
2022-06-18 19:05:51 400KB 文档资料