基于倍福控制器的频率测量方案例程
Verilog HDL设计信号频率测量模块FPGA设计Quartus工程文件 ,等精度频率计模块,测量被测信号频率,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module top_cymometer( //system clock input sys_clk , // 时钟信号 input sys_rst_n, // 复位信号 //cymometer interface input clk_fx , // 被测时钟 output clk_out , // 输出时钟 //user interface output [5:0] sel , // 数码管位选 output [7:0] seg_led // 数码管段选 ); //parameter define parameter CLK_FS = 26'd50000000; // 基准时钟频率值 //wire define wire [19:0] data_fx; // 被测信号测量值 //***************************************************** //** main code //***************************************************** //例化PLL,生成待测试时钟500Khz test_pll test_pll_inst ( .inclk0 (sys_clk ), .c0 (clk_out ) ); //例化等精度频率计模块 cymometer #(.CLK_FS(CLK_FS) // 基准时钟频率值 ) u_cymometer( //system clock .clk_fs (sys_clk ), // 基准时钟信号 .rst_n (sys_rst_n), // 复位信号 //cymometer interface .clk_fx (clk_fx ), // 被测时钟信号 .data_fx (data_fx ) // 被测时钟频率输出 ); //例化数码管显示模块 seg_led u_seg_led( //module clock .clk (sys_clk ), // 数码管驱动模块的驱动时钟 .rst_n (sys_rst_n), // 复位信号 //seg_led interface .seg_sel (sel ), // 数码管位选 .seg_led (seg_led ), // 数码管段选 //user interface .data (data_fx ), // 被测频率值 .point (6'd0 ), // 数码管显示的点控制 .en (1'b1 ), // 数码管驱动使能信号 .sign (1'b0 ) // 控制符号位显示 ); endmodule
(1) 频率测量范围:1Hz~10kHz。 (2) 数字显示位数:四位静态十进制计数显示被测信号的频率。
2020-05-06 15:34:46 152KB 频率测量范围 数字显示位数
1
使用stm32f103进行频率测量,使用TFT-LCD屏进行显示,在上升沿进行检测,只能检测方波的频率,如果想要正弦波,要搭正弦波转方波电路。
2020-01-03 11:40:40 371KB 频率测量
1
利用STM32F1主控芯片,实现对信号为10HZ到15KHZ内的信号频率进行测量,该文档包含详细的硬件电路,MULTISIM仿真电路和代码
2019-12-21 21:45:51 6.45MB AD硬 STM32 频率测 multis
1
该代码利用MSP430单片机测量信号的频率,测量频率范围广,精度高,可作为建简易的频率计。
2019-12-21 21:23:19 34KB MSP430 频率测量
1
基于STM32F407(1HZ~3MHZ)频率测量2%误差测量,利用正点原子的外部中断和定时器中断服务函数。
2019-12-21 20:56:37 5.18MB STM32F
1
基于FPGALCD1602显示,(1~100MHZ)频率测量,占空比测量,(1~5M)两路方波时间差,相位差测量误差1%,内有代码详解。
2019-12-21 20:56:37 29.09MB FPGA
1
这个是根据正点原子的输入捕获代码稍稍改了一下,可用。测量范围与误差没有具体试过,还是要看单片机的性能吧。
2019-12-21 20:55:13 2.93MB STM32 频率测量
1
所制作的频率计电气指标如下:(1)显示的位数:8位数LED (2)进制数:十进制 (3)频率测试范围:1Hz~99MHz,利用预置分频器做1/2分频
2019-12-21 20:19:04 369KB 频率测量 周期测量
1