FPGA时钟 VHDL语言实现,使用 quartus 9.1 完成,该软件打开可直接上板测试。
2021-11-17 13:53:14 1.42MB FPGA VHDL 时钟
1
FPGA开发VHDL设计实例程序 有27个设计实例,包括DA/AD,频率计、电子琴、波形发生、出租车计价器等等
2021-11-16 20:52:14 1.22MB FPGA VHDL 实例 程序
1
入门学习FPGA的非常好的代码,可以控制LED流水灯,数码管,蜂鸣器,LCD,拨码开关等. 最大的优点是注释相当全,而且 都是中文,看起来非常方便. 部分代码和中文注释都是自己写的,所以要的分高了些,请谅解
2021-10-29 11:46:21 8.29MB FPGA  VHDL 示例工程 代码
1
fpga实现7段数码管显示(vhdl),通常为了方便使用,七段数码管还会多出一段用来表示 “小数点”,因此七段数码管实际上是八段,习惯上仍称为“七段数码管”。 七段数码管分共阴极和共阳极两种封装形式,当七段数码管中所有段 LED 的 负极连接在一起进制控制,而所有的 LED 正极单独控制,则称为“共阴极” 数码管,反之则称为“共阳极”数码管。本实验中 FPGA 实验板上用到的是 “共阳极”七段数码管,如图 所示。
2021-10-27 16:58:11 16.53MB fpgA VHDL
1
本文包含基于FPGA使用VHDL语言设计秒表的源代码以及作品总结文档,是本人在全国大学生电子设计大赛前的实训时的作品。
2021-10-04 14:58:16 109KB FPGA VHDL
1
课程设计 基于FPGA的交通灯源代码 用VHDL编写的程序,可实现的功能有:南北方向是主干道车到,东西方向是支干道车道,要求两条交叉道路上的车辆 交替运行,主干道每次通行时间为 30 秒,支干道每次通行时间为 20 秒,时间可设 置修改。在绿灯转为红灯时,要求黄灯先亮 5 秒钟,才能变换运行车道。还具有复位、紧急等功能。
2021-10-03 15:35:34 1.29MB FPGA VHDL 课程设计 汽车尾灯
1
FPGA VHDL DDS程序,采用FPGA实现1hz到100khz可调的dds程序,频率调节步长是变化的。
2021-09-28 13:20:52 1.2MB FPGA DDS VHDL 信号发生器
1
明德扬至简设计与应用-FPGA实验手册和B站视屏配套,并且有详细的动手项目实践,附代码。非常适合刚开始学习FPGA的小伙伴,手册适合入门学习,后多做项目慢慢熟练。
2021-09-20 22:04:47 30.03MB fpga VHDL
1
本设计是在Quartus ii开发环境下采用VHDL语言实现的数字万年历课程设计(2018年到2037年这20年)。附带报告
2021-09-20 15:30:08 4.94MB FPGA VHDL 数字万年历
1
本文是基于fpga的竖条,横条,棋盘格用按键控制变换的。
2021-09-08 10:38:50 1KB fpga,vhdl
1