可直接运行,已经调试完成,结果通过显示屏展示出来,采用74138和与非门实现电路运行,a具有一票否决权,少数服从多数
2021-05-20 15:16:16 113KB 电路设计
1
所用芯片为74HC10和74HC20,功能具体描述为当有3人及以上的人数赞成的时候,LED灯亮,两人及以下的时候不亮,电路运行在multisim软件环境中,能正常实现功能,如有疑问请联系
2021-05-14 19:27:57 100KB 表决器,仿真
1
本人设计一个数字时钟,主要用来实现00~59的秒、分六十进制计数器, 00~23的小时二十四进制计数器,整点报时,置数,清零以及数码管显示等功能。 本人设计一个运算单元,主要用来实现三人多数表决,当三个人中通过的人数比不通过的人数多时,则通过,反之,你不通过。 本人设计一个状态机,主要用来检测所输入的序列中是否有“101”序列,设置不同的状态,输入不同的信号,从而得出次态和输出。
1
七人表决器设计利用vhdl程序编写,理由电路图以及工程图
2021-04-21 10:42:30 905KB 七人表决器
1
Proteus投票表决器的仿真,附带51单片机.c源程序,附带课设说明文档,还有实现的录像.exe,非病毒
2021-04-20 23:42:35 451KB Proteus 仿真 单片机
1
表决器等数电实验.doc
2021-04-19 18:03:14 837KB 数字电子技术
1
杭电数字电路课程设计-实验一-五输入表决器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程
2021-04-12 11:20:10 218KB 杭电数字电路课程设计
1
VHDL实验2 五人表决器 里面有详细的实验准备、实验内容步骤、实验程序分析、实验结果等.
2021-04-11 13:10:04 2.02MB vhdl 表决器 fpga
1
Vivado任意人数表决器设计,Vivado仿真工程.
利用VIVADO实现7人表决器 大于4个人输出为1
2021-03-15 12:05:26 124KB verilog
1