用VHDL语言编写的音乐播放器,有上一首,下一首等功能,做的比较基本,可以根据自己的需要选择
2021-04-16 16:32:51 3.22MB VHDL语言 音乐播放器
1
用C语言写的SVM程序,亲测过,供大家参考!
2021-04-16 12:28:55 1.65MB C SVM
1
插值是计算方法中重要的一个数值算法,本程序可代入数据结点,进行插值运算。
2021-04-13 14:27:12 2KB c语言 插值
1
用masm汇编写个最小的WDM驱动程序 ring0内核编程
2021-04-10 19:04:30 6KB 汇编 ring0 驱动 WDM
自己写的一个贪吃蛇的代码
2021-03-11 17:11:07 14.11MB #游戏#贪吃蛇
1
自己用C语言写的简单计算器,用堆栈实现,欢迎大家指正!
2021-02-23 19:43:42 8KB C语言 计算器 堆栈
1
《用C++语言写的完整的人脸识别程序源代码》是不错的资源哦! 《用C++语言写的完整的人脸识别程序源代码》是不错的资源哦!
2021-02-19 13:04:39 935KB C++ 人脸识别 程序源代码
1
使用C语言写远程屏幕监视程序,此处为关键代码,具体参考博文.
2020-01-17 03:11:14 1KB C语言 远程屏幕监视 源代码
1
用c语言写的8数码游戏,在visual C++中打开即可
2020-01-03 11:29:43 4KB c语言 c++
1
用C语言写的C搜索引擎含多种建立索引的方式,相信对学习C语言和搜索引擎的朋友有很大的帮助
2020-01-03 11:26:39 140KB C语言 搜索引擎 索引
1