实现rs232通信,基于FPGA的verilog实现,经典范例编码,随时供大家调用
2019-12-21 18:55:19 3KB verilog rs232 FPGA RS485
1