分享的是Ds18B20温度检测器设计, Lcd显示、矩阵键盘设置上限报警、报警系统(LED灯亮、电机转动散热)。该温度检测器,使用了10米线长的DS18b20温度传感器和51开发板,传感器由于使用长距离的接线,所以需增加上拉电阻。使用Lcd1602显示温度和所设置的上限报警值,可使用矩阵键盘设置上限报警的温度值,报警系统包括:LED灯由黄变红,同时电机转动散热。 来个实物秀:
2021-12-12 20:22:41 167KB ds18b20 电路方案
1
蓝桥杯之单片机设计与开发——蓝桥杯_模块练习——“DS18B20-温度传感器”
2021-12-11 15:13:03 62KB 51
1
该资源含有利用51及PIC单片机驱动DS18b20的程序及注释,配有Protues仿真文件
2021-12-06 12:33:37 83KB DS18b20 温度传感器 51 PIC
1
vhdl设计FPGA读写DS18B20温度传感器quartus工程源码+文档说明 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ds18B20 is port( clk : in std_logic;---50MHz rst_n: in std_logic; --复位信号输入 one_wire : inout std_logic; --DS18B20数据线 ---------------- dataout : out std_logic_vector(7 downto 0); --数码管数据输出 en : out std_logic_vector(3 downto 0)); --数码管位选信号 end ds18B20; architecture Behavioral of ds18B20 is signal dataout_buf:std_logic_vector(3 downto 0); signal count:std_logic_vector(17 downto 0); --分频计数器 signal cnt_scan:std_logic_vector(17 downto 0); --数码管的扫描显示计数器 signal clk_1us:std_logic;-- 1MHz 时钟 signal cnt_1us:integer range 0 to 750002;-- 1us延时计数子 signal cnt_1us_clear:std_logic;-- 请1us延时计数子 TYPE STATE_TYPE is (S00,S0,S1,S2,S3,S4,S5,S6,S7, WRITE0,WRITE1,WRITE00,WRITE01,READ0,READ1,READ2,READ3); --状态机 signal state: STATE_TYPE; --初始状态设置为复位状态 signal one_wire_buf:std_logic;-- One-Wire总线 缓存寄存器 signal temperature_buf:std_logic_vector(15 downto 0);-- 采集到的温度值缓存器(未处理) signal DS18B20_DATA_buf:std_logic_vector(15 downto 0);-- 采集到的温度值缓存器(未处理) signal DS18B20_DATA_buf_temp:std_logic_vector(15 downto 0);-- 采集到的温度值缓存器(未处理) signal step:integer range 0 to 50;--子状态寄存器 0~50 signal bit_valid:integer range 0 to 15;--有效位 signal one_wire_in:std_logic; signal t_buf:std_logic_vector(15 downto 0); signal t_buf_temp:std_logic_vector(15 downto 0); signal cnt:integer range 0 to 50;-- 计数子 -- //++++++++++++++++++++++++++++++++++++++ -- // 分频器50MHz->1MHz 开始 -- //++++++++++++++++++++++++++++++++++++++ begin -- process (clk,rst_n) -- begin -- if rising_edge(clk) then -- if(rst_n='0') then -- cnt <= 0; -- else -- if(cnt = 49)then -- cnt <= 0; -- else -- cnt <= cnt + 1; -- end if; -- end if; -- end if; -- end Process;
基于51单片机及DS18B20温度传感器的数字温度计设计,完整的数据代码和程序设计思路
2021-11-27 10:57:46 704KB DS18B20
1
基于嵌入式Linux系统ds18b20温度传感器驱动,及测试文件。 采用内核Linux-2.6.32.2,编译环境arm-linux-gcc.
2021-11-09 14:38:07 10KB ds18b20
1
DS18B20温度传感器的51汇编程序,器件资料文档用搜索找,没上传。其中当然少不了PROTEUS的仿真,KEIL+PROTEUS联合实现。而且实现了多个器件级连的功能,程序当然可以继续优化的
2021-11-08 20:53:48 20KB DS18B20 KEIL 51汇编 PROTEUS模拟
1
arduino-uno温度监控器 使用Arduino UNO板,DS18B20温度传感器,TM1637显示屏和信号LED内置的简单温度监控器的源代码。 部分 1个Arduino UNO Rev3 1个DS18B20温度传感器1个TM1637显示屏1个LED 1个220欧姆电阻1个4.7k欧姆电阻1x面包板+电线 架构图 权限 $ sudo chmod a+rw /dev/ttyACM0 执照
2021-11-06 11:31:07 217KB arduino cpp display temperature
1
单片机采集多个ds18b20节点温度数据并显示在lcd1602上
2021-10-30 20:43:06 103KB c51 ds18b20 温度传感器
1
本系统是一个基于单片机AT89C52RC的数字温度计的设计,用来测量环境温度,测量范围为-50℃—110℃度。 整个设计系统分为3部分:单片机控制、温度传感器、数码显示。 此设计的重点在于编程,程序要实现温度的采集、转换、显示,其外围电路所用器件较少,相对简单,实现容易。 显示电路采用4位共阴LED数码管,从P0口输出段码,P2.0—P2.3作片选端。
2021-10-28 08:14:14 237KB DS18B20 温度传感器 单片机
1