本文就是用VHDL语言来描述一个基于FPGA的数字闹钟系统的设计。该数字闹钟系统具备准确计时,时间校准, 定时闹钟等功能。
1
EDA课程设计 四个模块 该压缩包包含所有的文件 下载后就可以直接提交给老师 很有用 欢迎下载
2020-01-03 11:19:25 564KB EDA VHDL QUARTUS
1
大学期间做的一个课程设计,代码应该可以直接用
2019-12-28 18:00:12 131KB EDA VHDL 密码锁
1
EDA课程设计用,带程序源码,带仿真图等。
2019-12-21 21:06:15 531KB EDA;VHDL
1
EDA设计中关于点阵的汉字显示,包括不同的显示方式(循环、移动等),用VHDL描述。
2019-12-21 21:03:53 7KB 点阵 汉字显示 eda vhdl
1
EDA 技术丛书 VHDL 实用教程 潘 松 王国栋 编著 内 容 简 介 本书比较系统地介绍了 VHDL 的基本语言现象和实用技术 全书以实用和可操作为基点 简洁而又不失完整地介绍了 VHDL 基于 EDA 技术的理论与实践方面的知识其中包括 VHDL 语句语法基础知识 第 1 章 第 7 章 逻辑综合与编程技术 第 9 章有限状态机及其设计 第 10 章 基于 FPGA 的数字滤波器设计 第 11 章 多种常用的支持 VHDL 的 EDA软件使用介绍 第 12 章 VHDL 数字系统设计实践介绍 第 13章 和大学生电子设计赛题的 VHDL 应用介绍 第 14 章 全书列举了大量 VHDL 设计示例 其中大部分经第 12 章介绍的 VHDL 综合器编译通过 第 13 章的程序绝大部分都通过了附录介绍的 EDA 实验系统上的硬件测试 可直接使用 书中还附有大量程序。设计和实验/实践方面的习题。 本书可作为高等院校的电子工程 通信 工业自动化 计算机应用技术 电子对抗仪器仪表 数字信号处理 图像处理等学科领域和专业的高年级本科生或研究生的 VHDL或 EDA 技术课程的教材及实验指导 也可作为相关专业技术人员的自学参考书。 目 录 第 1 章 绪 论....................................................................................................................1 § 1.1 关于 EDA...............................................................................................................1 § 1.2 关于 VHDL............................................................................................................3 § 1.3 关于自顶向下的系统设计方法............................................................................5 § 1.4 关于应用 VHDL 的 EDA 过程.............................................................................6 § 1.5 关于在系统编程技术............................................................................................9 § 1.6 关于 FPGA/CPLD 的优势...................................................................................10 § 1.7 关于 VHDL 的学习.............................................................................................10 第 2 章 VHDL 入门..............................................................................................................12 § 2.1 用 VHDL 设计多路选择器和锁存器 .................................................................12 § 2.2 用 VHDL 设计全加器.........................................................................................15 第 3 章 VHDL 程序结构......................................................................................................19 § 3.1 实 体 ENTITY ..............................................................................................19 §
2019-12-21 20:50:28 11.73MB EDA  VHDL 实用
1
包括4位全加器 四选一数据选择器 4位加法计数器 七段数码显示译码器等的VHDL程序及仿真
2019-12-21 20:24:24 649KB EDA VHDL
1
设计题目:《简易乐器演奏器设计》 设计内容: (1)设计一简单的乐曲演奏器,可通过按键输入来控制音响声音 ; (2)演奏时可以通过按键选择是手动演奏还是自动演奏,手动演奏是通过按键进行简易乐曲的演奏,自动演奏则是演奏已存入的固定乐曲; (3)至少保存一首自动演奏的乐曲,且自动演奏的乐曲能重复播放; (4)进行手动演奏和自动演奏时,数码管上要同时能显示出演奏乐曲的乐谱; (5)自动播放时,设置低速,中速,快速控制键,能实现乐曲不同速度的播放。 (6)此演奏器要设置一个整体复位控制键;
2019-12-21 20:11:05 1.34MB EDA VHDL 简易乐器 演奏器
1
EDA课程设计简单cpu设计,居于Quartus II设计。这里只是转载一个成功案例,希望有参考价值。 来源:http://www.pudn.com/downloads135/sourcecode/others/detail574823.html
2019-12-21 19:59:23 708KB eda VHDL 硬件描述语言 课程设计
1
EDA入门的工具书,包括用VHDL设计组合逻辑电路,时序逻辑电路,综合电路等
2019-12-21 19:51:58 3.08MB EDA VHDL
1