4bit超前进位加法器(CLA)源代码,用组合逻辑实现
2021-02-26 11:13:48 869B CLA 加法器 verilog
1
包括4位超前几位加法器,32位超前进位加法器及测试程序和电路图
2020-01-03 11:43:07 251KB 32位超前进位加法器 VHDL
1
采用门级电路实现4位超前进位加法器,文档含有门级电路图设计,代码以及仿真截图
2019-12-21 20:40:28 147KB verilog
1