LCD频率计仿真,比赛练习案例,仿真实例,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
TL3016 频率计模块AD设计 Altium设计 硬件原理图+PCB文件,2层板设计,Altium Designer 设计的工程文件,包括原理图及PCB文件,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。
本设计可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) ①设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 ②测量频率范围:10~9999Hz。 ③设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。 ④确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2021-03-21 10:35:29 930KB 频率计 集成电路 仿真
1
mulitisim仿真频率计设计,实现功能:输入0~999KHz频率测量;支持正弦波、方波、三角波,自动刷新稳定锁存显示,超量程自动升档,上电默认最小档,清除电路内部计数值。该资源为mulitisim仿真文件,配套课设报告使用。
1
里面囊括了数值电路课程设计常见的几个课题,包括抢答器、数字时钟、交通灯、数字频率计。设计过程比较详细,希望能够给你一些帮助
1
Verilog HDL设计信号频率测量模块FPGA设计Quartus工程文件 ,等精度频率计模块,测量被测信号频率,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module top_cymometer( //system clock input sys_clk , // 时钟信号 input sys_rst_n, // 复位信号 //cymometer interface input clk_fx , // 被测时钟 output clk_out , // 输出时钟 //user interface output [5:0] sel , // 数码管位选 output [7:0] seg_led // 数码管段选 ); //parameter define parameter CLK_FS = 26'd50000000; // 基准时钟频率值 //wire define wire [19:0] data_fx; // 被测信号测量值 //***************************************************** //** main code //***************************************************** //例化PLL,生成待测试时钟500Khz test_pll test_pll_inst ( .inclk0 (sys_clk ), .c0 (clk_out ) ); //例化等精度频率计模块 cymometer #(.CLK_FS(CLK_FS) // 基准时钟频率值 ) u_cymometer( //system clock .clk_fs (sys_clk ), // 基准时钟信号 .rst_n (sys_rst_n), // 复位信号 //cymometer interface .clk_fx (clk_fx ), // 被测时钟信号 .data_fx (data_fx ) // 被测时钟频率输出 ); //例化数码管显示模块 seg_led u_seg_led( //module clock .clk (sys_clk ), // 数码管驱动模块的驱动时钟 .rst_n (sys_rst_n), // 复位信号 //seg_led interface .seg_sel (sel ), // 数码管位选 .seg_led (seg_led ), // 数码管段选 //user interface .data (data_fx ), // 被测频率值 .point (6'd0 ), // 数码管显示的点控制 .en (1'b1 ), // 数码管驱动使能信号 .sign (1'b0 ) // 控制符号位显示 ); endmodule
一种智能频率计的设计与制作(AVR)proteus仿真+程序资料
2021-03-13 15:03:11 172KB 一种智能频率计的设计与制作(AV
stm32f103 adc+tim控制采样+dma传输+fft处理频率计。程序可以直接运行,没有BUG,可以升级。可以将频谱显示在LCD显示屏上。
2021-03-09 17:39:04 3.43MB 嵌入式
1
在proteus上实现简易测频计,具体电路。包含:(1) 施密特整形电路,对输入信号进行整形,提高测量的稳定性和可靠性。(2) 秒信号发生器及分频器,采用计数器构成模1和模10的分频器,分别得到周期为1S和10S的门控信号。(3) 测量控制(闸门)(4) 读数保持与清除。
1
设计任务:设计一个数字式频率计,测量数字信号和模拟信号的频率。 设计要求:被测信号为TTL脉冲信号;显示的频率范围为00~99Hz,测量精度为1Hz;用LED数码管显示频率数值。
2021-03-03 21:06:52 306KB fpga
1