VHDL实现矩阵键盘
2021-05-01 10:23:55 1.09MB VHDL EDA FPGA
1
基于FPGA音乐硬件演奏电路设计.课程设计 毕业论文 EDA FPGA
2021-04-26 17:03:49 163KB 毕业论文 课程设计 EDA FPGA
1
周立功 出的Smart SOPC FPGA平台实验手册。从点亮LED开始教学。非常细致。
2021-04-18 14:13:10 103.75MB EDA FPGA QUARTUS
1
在LB0开发套件上实现万年历功能,其中年月日可调,还可切换时分秒计时,并且还有星期显示
2021-04-09 17:24:50 7.61MB EDA FPGA 万年历
1
EDA技术及应用课程相关实验:按键控制LED实验
2021-02-27 14:01:21 38KB EDA FPGA 源码 quartusII
1
EDA技术及应用课程相关实验:蜂鸣器实验
2021-02-27 14:01:20 33KB EDA FPGA 源码 quartusII
1
系统阐述数字系统开发的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog硬件描述语言等。全书以QuartusⅡ、SynplifyPro软件为平台,以Verilog—1995和Verilog—2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,系统阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能
2020-03-04 03:07:16 9.91MB EDA FPGA Verilo
1
该汽车尾灯控制器的具体要求如下:(1)左右两侧各有3 只尾灯,用 作汽车行驶状态的方向指示标志;(2)当汽车正常向前行驶时,6 只尾灯 为全部熄灭;(3)当汽车要向左或向右转弯时,相应的3 只尾灯依次由 左至右闪亮,另一侧的3 只灯不亮。(4)紧急刹车时,6 只尾灯全部亮,闪 动频率为1HZ。由系统功能分析可以看出,控制器的设计重点在于左转 lfen、右转rten 和紧急刹车lr 等控制信号的产生。
2020-01-03 11:26:59 435KB EDA FPGA 汽车 智能
1
eda重点要点基础都在这里啦,希望能对大家有所帮助!
2019-12-21 22:19:54 65KB eda fpga cpld
1