《PHOTOSHOP图像处理》课程学习指导书(学习指南)
2022-06-29 14:09:51 54KB 文档资料
测试台(testbenches)描述 测试台的结构 空实体 被测试系统通过元件例化被引用 测试台的功能 产生激励 测试向量 将激励作用于被测单元 比较输出响应与预测值的不同
2022-06-26 22:16:41 20.91MB vhdl
1
单片机原理学习指导与实践指导-源程序.rar
2022-06-26 09:06:33 1.2MB 教学资料
15.2 绘制矢量图 矢量图用于表现速度、作用力等矢量的大小和方向。矢量图分二维矢量和三维矢量,分 别对应于二维流场计算和三维流场计算。在读入数据文件后,点击左上方工具栏中的 3D 或 2D 按钮,在按钮下方就可以发现 Vector(矢量)选项。点击 Vector(矢量)选项,则可 以自动弹出 Select Variables(选择变量)窗口,如图 15-5 所示。 图 15-5 确定矢量分量 图 15-5 为三维矢量情况下的窗口形式,在二维情况下则仅有 U、V 两个分量。在这个 窗口中设定矢量分量所对应的数据。设置完毕后,点击 OK 按钮,则帧中将自动显示在缺 省设置下的矢量图。 矢量图的显示方式也是可以进行调整的。下面利用 TECPLOT 自带的示例文件 velocity.plt 对调整方法进行说明。Velocity.plt 文件位于 TECPLOT 的安装目录 TEC90 下。 顺序进入 Demo/plt 子目录, 后选择 velocity.plt 文件完成载入过程。 下面对矢量图进行绘制属性设定: (1)在工具栏中,取消对 Mesh(网格)的选择,并选择 Vector(矢量)
2022-06-23 09:08:34 6.96MB Fluent 矢量图 qt cadaques
1
PWM信号发生器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Pwm is port( clk: in std_logic; --clk signal wr_n:in std_logic; --write signal addr:in std_logic; --address signal WrData:in std_logic_vector(7 downto 0); --writedata signal PwmOut:out std_logic); --Global signal end Pwm; architecture one of Pwm is signal period:std_logic_vector(7 downto 0); signal duty:std_logic_vector(7 downto 0); signal counter:std_logic_vector(7 downto 0); Begin process(clk,WrData) begin if rising_edge(clk) then if (wr_n='0') then if addr='0' then period<=WrData; duty<=duty; else period<=period; duty<=WrData; end if; else period<=period; duty<=duty; end if; end if; end process; process(clk) begin if rising_edge(clk) then if counter=0 then counter<=period; else counter<=counter-1; end if; if counter>duty then PwmOut<='0'; else PwmOut<='1'; end if; end if; end process; end one;
2022-06-19 14:28:17 20.91MB vhdl
1
vb程序设计同步学习指导习题
2022-06-14 14:07:23 1.39MB 文档资料
职教高考网络设备安装与调试学习指导
2022-05-31 21:04:07 4.51MB 学习 春考 网络技术
编译原理学习指导与典型题解析,学习编译的一本不可或缺的学习材料。欢迎下载
2022-05-31 17:22:04 3.08MB 典型题解析 学习指导
1
本书是一本关于计算机系统结构课程的学习辅导书。全书共分为9章:计算机系统结构的基本概念,计算机指令集结构,流水线技术,指令级并行,存储层次,瑜人/输出系统,互连网络,多处理机,机群计算机。每一章 都由4节组成,分别是基本要求与难点、知识要点、习題以及题解。“基本要求与难点"列出了各章教学的基本要求及难点,“知识要点"详细给出了各章内容的精华和要点。“习题"包括概念题、选择题、填空题、问答题、应用 题等。“解"给出了各题答案和详细的求解过程。本书概念清晰,重点难点突出,题型多样,是一本很有用的学习辅导书。本书是普通高等教育“十一五"家级规划教材《计算机系统结构》(高等教育出版社)的配套教学参考书。当然,对于采用其他系统结构教材的读者来说,也有较高的参考价值。本书可作为计算机系统结构课程(上课或自学)的学习参考书,也可作为计算机专业硕士研究生人学考试的复习指导书。
2022-05-27 23:12:41 10.05MB architecture
1
高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF高等数学学习指导PDF
2022-05-07 12:47:17 5.16MB 高等数学学习指导PDF
1