课设分析了NRZ(NotReturnZero)码与HDB3(HighDensityBinary3)码相互转换原理,提出了基于SystemView平台的HDB3编解码系统仿真方案,设计了实际仿真模型;仿真结果和分析表明,提出的仿真方案可行,且在适当增加功能模块后可用于对HDB3编解码系统性能的进一步研究。
2021-12-18 23:32:40 770KB HDB3
1
摘 要 HDB3码是基带传输码型之一,因为它具有无直流分量、低频分量少、连0数不超过3个这些特点,所以有利于信号的恢复和检验,所以HDB3码被广泛应用到井下电缆遥传系统以及高速长距离书记通信中等。FPGA具有成本低、可靠性高、开发周期短、可重复编程等特点。利用EDA技术,可对其实现硬件设计软件化,加速了数字系统设计的效率,降低了设计成本。本文先对HDB3码,FPGA器件和EDA技术的发展背景进行简述。接着阐述EDA技术中常用的VHDL语言的发展与优点,并以VHDL为核心,简要说明硬件电路的设计的方法步骤。然后介绍HDB3码的编译码原理以及其特点。最后,对HDB3码的编译原理进行重点分析,并且以VHDL语言为主,分别对编码器部分和译码器部分的具体实现方法进行说明,给出具体设计的思考方案和程序流程图,并对设计方案进行软件仿真,同时给出仿真结果并对其进行分析,证明设计方案的正确性。最后,完成曼彻斯特码编码器与译码器的设计,进行对比学习。 关键词:HDB3码;FPGA;EDA;VHDL;曼彻斯特码;编译码 Abstract HDB3 code is one of codes used in the transmission system. It has no DC components and a few of LF components. Moreover, it has continuous zeros no more than three. The features of HDB3 code help the signal to be rebuilt and be checked for error easily, so HDB3 code is the commonly used code in the transmission system. Low cost, dependability, short design cycle and repeated program ability are the features of FPGA. You can design hardware of digital circuits by using software as a result of using FPGA with EDA. It will construct the digital system quickly system quickly and reduce the cost of design. This paper first introduces the development and background of HDB3.FPGA and EDA, and then expands VHDL. which is commonly used as design-entry language for EDA.A summary of digital circuits’ design by using VHDL is provided. Moreover, the principle and decoder is designed by using VHDL. Finally, the plan of design, the flow of software design and the simulated waveform of HDB3 encoder and decoder is presented, showing correctness of the design.Finally, the design of the encoder and decoder of the Manchester encoder and decoder is completed and compared with the study. Keywords: HDB3 code; FPGA ; EDA ; VHDL; Manchester code; Encoder and Decoder 目录 第一章 概述 1 1.1 HDB3码的简述 1 1.2 FPGA简介 2 1.2.1 FPGA的发展历程 2 1.2.2 FPGA基本结构及其特点 3 1.3 EDA技术 4 1.4 VHDL硬件描述语言 4 1.4.1 简介 4 1.4.2 VHDL具有的特点 5 1.4.3 VHDL的优点 7 1.4.4 VHDL设计硬件电路的方法 7 第二章 HDB3码的编译规则 10 2.1主要的基带传输码型 10 2.1.1 NRZ码的编码规则 10 2.1.2 AMI码的编码规则 10 2.2 HDB3码的编码规则 11 2.3 HDB3码的译码规则 12 2.4 HDB3码的检错能力 12 第三章 HDB3编码器的FPGA实现 13 3.1 HDB3码编码器的实现分析 13 3.2 HDB3码编码器的设计思路 13 3.2.1 4连‘0’的检出加V及判‘1’极性 13 3.2.2 取代节的选取 13 3.3设计建模 14 3.3.1插“V”码模块设计及仿真 15 3.3.2插“B”码模块设计及仿真 17 3.3.3 HDB3编码器的极性转换模块设计及仿真 20 第四章 HDB3译码器的FPGA实现 24 4.1 译码器的实现分析 24 4.2 HDB3译码器的设计思路 24 4.3 V的检测 25 4.4 扣V扣B 25 4.5 设计建模 25 4.5.1扣V扣B的实现 26 4.6 软件仿真 26 第五章 结论 28 参考文献 29 附录 30 谢辞 38
2021-12-16 11:37:04 1.62MB HDB3码
1
这个是通信原理的作业,输入信码,转换成AMI码和HDB3码。
2021-11-29 20:00:51 433KB 源码 AMI码 HDB3码
1
C语言实现AMI编码,HDB3编码及译码,MATLAB绘制波形。 运行hdb3_test.c,编/译码结果输出在test_out.txt文件里。 HDB3_Encoding.c和HDB3_Dncoding.c是用来编译成MATLAB的.mexw文件的。 hdb3_encoding_decoding.m文件是用MATLAB绘制波形的文件。
2021-11-26 19:55:28 12KB 通信原理 AMI HDB3
1
用ISE软件打开,程序中输入代码0、1、V、B分别用“00”、“01”、“11”、“10”表示,输出的代码为+1、-1、0这几个代码分别用“01”、“11”“00”表示
2021-11-21 11:26:33 156KB verilog hdl
1
毕设必用,关于HDB3的飞、FPGA设计。很有用的,大家分享吧
2021-11-14 16:35:43 609KB HDB3,FPGA
1
该程序实现了hdb3的编码功能,经调试后,符合编码要求。主要有插v插b和极性转换三部分组成。
2021-11-14 16:25:19 3KB hdb3 vhdl
1
成 绩 评 定 表 学生姓名 xx 班级学号 xxxxxxxx DPCM 编码与解码 专 业 电子信息工 课程设计题目 的MATLAB 实现 程 与分析 评 语 组长签字 成绩 日期 年 月 日 课程设计任务书 学 院 信息科学与工程 专 业 电子信息工程 学生姓名 xx 班级学号 xxxxxxx 课程设计题目 DPCM 编码与解码的 MATLAB 的实现与分析 实践教学要求与任务: 利用 MA
2021-11-10 11:02:00 320KB 文档 互联网 资源
利用python编写的HDB3编译码并有源码、AMI码、HDB3码的码型 其中信源二进制码随机生成 (通信原理大作业,已发给老师,代码正确)
2021-11-03 20:03:03 3KB 通信原理 python HDB3码 信号
1
PAM、PCM、PSM、HDB3、FSK实验
2021-10-28 19:52:04 721KB PAM PCM
1