计算机组成原理实验 Quartus 四位无符号数乘法器
2021-07-07 12:58:13 167KB 组成原理 Quartus 乘法器
1
桂林电子科技大学计算机与信息安全学院计算机组成原理课程设计,题目为输入包含10个整数(无符号数)的数组M,输出中位数。文件里面有代码,有文档。下载可直接使用。
2021-07-05 15:29:34 12.04MB 输入包含10
1
微机原理课程设计,32乘以32无符号数乘法和递归调用算法实例
1
从外部输入4个无符号数,将前两个数相加,和存入内存30H单元;后两个数相减,差存入内存31H单元。并将两个结果分别输出。
2021-06-21 16:58:39 19KB add mv STO
1
这也是一个用C++ 做的编译原理实验,是关于LR语法的代码,代码详细,欢迎下载
1
利用一个可控加法/减法CAS单元所组成的流水阵列来实现的,一个可控加法/减法CAS单元包含一个全加器和一个控制加减的异或门,用于并行除法流水逻辑阵列中。
2021-05-20 19:53:14 428KB 阵列除法器 不恢复余数 无符号
1
一个简单的字符串统计代码,由用户输入任意长字符串,以问号结束,中间不允许出现空格,程序负责统计其中的字母个数,数字个数及其他符号数 C和C++两种语言实现
2021-05-13 23:29:45 2KB 字符串 统计 C C++
1
verilog代码设计一个有符号累加器,每个累加器输入-8~+7范围有符号数i_data共四个,i_valid在输入数据有效时置高,无效时置低,当接收到4哥数据后,进行有符号数累加运算并输出累加结果o_data,同时拉高o_ready线(此时也可以接收下一轮数据的输入)。o_ready拉高一个时钟周期脉冲表明 一次有效累加输出。
1
计算机组成原理课程设计无符号数的阶乘,利用模型机设计指令。
2021-01-28 02:46:49 1.23MB 计算机组成原理 实验 课程设计