这是我下载该网站“systemview仿真例子(很多的哦)”资源后发现其中8-13有错误,重新设计的。
2021-04-14 08:28:38 52KB MSK systemview
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1
通过这次课程设计熟悉MATLAB中M文件的使用方法,并在掌握MSK调制解调原理的基础上,编写出MSK调制解调程序。绘制出MSK信号解调前后在时域和频域中的波形,并观察解调前后频谱有何变化以加深对MSK信号解调原理的理解。
2019-12-21 22:13:56 746KB MSK 调制解调 MATLAB
1
完整的QPSK-MSK-QAM-OFDM调制解调m程序
2019-12-21 21:18:18 3KB QPSK-MSK 调制解调 m程序
1
基于MATLAB的MSK调制解调代码,分析了MSK连续相位调制的方法与性能
2019-12-21 20:52:35 130KB MATLAB MSK 调制解调
1
MSK matlab调制解调系统仿真(包括无误码性能分析)
2019-12-21 20:06:11 16KB MATLAB MSK 调制解调 误码率
1
Matlab 强大之处在于它可以用编程语言绘制想要模拟的系统最终输出的图形,通过 Matlab 编程 MSK 系统,可以得到各环节的图形,通过对图形的分析可以得出MSK系统的特点及性能
2019-12-21 19:52:49 5KB msk调制解调
1