很好的一本关于傅立叶变换的课本,适合通讯,电子,物理电子等相关专业学生,当然了,是英文版的,需要硬着头皮看了。
2023-01-30 10:32:44 7.53MB 傅立叶变换 数模转换
1
matlab数模转换的代码自酿心电图 在PCB上焊接ECG监视器电路,使用MSP430G2553进行AD转换,Matlab和Nokia 5100 LCD进行显示 影片 整个运行: 心电图波: 资料夹 ECG_By_Timer_CCS_code:此项目的代码 ECG_MATLAB_code:此项目的Matlab代码 报告书
2023-01-23 07:05:34 1006KB 系统开源
1
基于单片机与数模转换器的仿真实例,利用keil进行仿真的教程
2022-12-22 13:59:11 803KB 单片机 数模转换器
1
基于8086和DA8032的数模转换,能选择产生正弦波,方波,三角波和锯齿波
2022-12-17 11:25:36 22KB 8086论坛 数模转换 8086波形发生器
1
ADI 技术指南合集 第一版 数模转换
2022-11-28 22:43:45 2.02MB ADI
1
该程序为DSP F280049C的DAC输出配置程序的完整代码,程序可正常运行,需要的朋友可以自行下载哈
2022-11-15 10:27:47 350KB DSP F280049C DAC 数模转换
1
黑金双通道 14 位 DA 输出模块 AN9767 采用 ANALOG DEVICES 公司的AD9767 芯片,支持独立双通道、14 位、125MSPS 的数模转换。模块留有一个40 针的排母用于连接 FPGA 开发板,2 个 BNC 连接器用于模拟信号的输出。
2022-11-10 21:38:21 2.36MB fpga开发 AN9767
1
使用单片机进行数模转换的代码,已在atmega16上调试可行,可以直接使用
2022-10-08 12:07:14 648B 数模转换
1
数模转换器(DAC)原理  数字量转换成模拟量的过程叫做数模转换,简写成D/A,完成这种功能的电路叫做数模转换器,简称DAC。  数模转换器的框图如图所示。  输入的二进制数码存入寄存器,存入寄存器的二进制数,每一位控制着一个模拟开关,模拟开关只有两种可能的输出:或是接地,或是经电阻接基准电压源。它由寄存器中的二进制数控制,模拟开关的输出送到加法网络,二进制数码的每一位都有一定的“权”,这个网络把每位数码变成它的加权电流,并把各位的权电流加起来得到总电流,总电流送入放大器,经放大器放大后得到与之对应的模拟电压,实现数字量与模拟量的转换。  集成电路数模转换器的原理及作用  集成电路数模转换器都
1