用VHDL语言设计一个16进制加减计数器,计数方向可以由外界输入信号控制,带有清零和置位,输出除了包括计数值外还应包括进位和借位。
2020-04-28 21:22:41 571KB 16进制加减计数器
1