四位全加全减器实现 library IEEE;--四位全加全减器(复用加法器) use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all;--要用信号加法,要加此句 entity AM is port( Flag:in std_logic;--1为减法 0为加法 Cin :in std_logic;--进位(借位)输入 A,B :in std_logic_vector(3 downto 0);--A为加(减)数,B为被加(减)数 Sum :out std_logic_vector(3 downto 0);--结果输出 Cout:out std_logic--进位(借位)输出 ); end AM;
2021-07-05 08:40:21 148KB FPGA VHDL 四位全加全减器
1
HDL全减器代码
2021-05-15 09:03:59 2.79MB HDL 全减器
1
DDR SDRAM控制器verilog代码.7z
2021-01-28 00:46:43 428KB DDRSDRAM控制器veri