易语言彗星真彩控件模块+例程 大家可以学习下,彗星模块还是蛮实用的。
2023-03-12 21:38:52 310KB 易语言 彗星 真彩 空间
1
LPC1768基础例程:GPIO、UART、CAN、DMA、PWM、ADC、RTC等
2023-03-11 22:30:56 7.06MB LPC1768 CAN UART
1
STM32官方USB例程JoyStick的详解;
2023-03-11 15:50:06 133KB STM32 USB 例程 JoyStick
1
SIM800C模块资料, SIM800C AT指令,开发例程,源码
2023-03-10 16:53:00 73.96MB SIM800C AT指令 开发源码
1
MSP430F1xx实现软件锁频环(FLL)例程.docMSP430F1xx实现软件锁频环(FLL)例程.doc
1
这些文件包括一个示例性的代数和动态解决方案示例,该示例考虑了同步发电机的凸极模型来执行暂态稳定性分析。 考虑到越来越多地使用模拟来评估电力系统性能,特别是由于微电网和智能电网技术,我们希望它对学生和专业人士有用。
2023-03-10 09:38:06 385KB matlab
1
51单片机STC89C52RC开发板例程之蜂鸣器播放音乐。 1、单片机型号:STC89C52RC。 2、开发环境:KEIL。 3、编程语言:C语言。 4、提供配套PDF格式51单片机STC89C52RC开发板电路原理图。
2023-03-09 21:17:19 135KB 51单片机 STC89C52RC 蜂鸣器
1
DM6437下的图像采集例程DM6437下的图像采集例程
2023-03-09 16:11:30 4KB DM6437
1
易语言窗口子类化例程源码,窗口子类化例程,子程序1
1
A3P030-VQG100I.pdf EasyFPGA030_74HC138实验例程及文档 .zip EasyFPGA030_74HC151实验例程及文档.zip EasyFPGA030_74HC161实验例程及文档 .zip EasyFPGA030_74HC283实验例程及文档.zip EasyFPGA030_74HC373实验例程及文档.zip EasyFPGA030_74HC4017实验例程及文档 .zip EasyFPGA030_74HC74实验例程及文档.zip EasyFPGA030_74HC85实验例程及文档.zip EasyFPGA030_D触发器实验例程及文档.zip EasyFPGA030_D锁存器实验例程及文档.zip EasyFPGA030_与门实验例程及文档.zip EasyFPGA030_与非门实验例程及文档.zip EasyFPGA030_加法器实验例程及文档.zip EasyFPGA030_同或门实验例程及文档.zip EasyFPGA030_异或门实验例程及文档.zip EasyFPGA030_或门实验例程及文档.zip EasyFPGA030_或非门实验例程及文档.zip EasyFPGA030_数值比较器实验例程及文档.zip EasyFPGA030_数据分配器实验例程及文档.zip EasyFPGA030_数据选择器实验例程及文档.zip EasyFPGA030_状态机实验例程及文档.zip EasyFPGA030_移位寄存器实验例程及文档.zip EasyFPGA030_编码器实验例程及文档.zip EasyFPGA030_计数器实验例程及文档.zip EasyFPGA030_译码器实验例程及文档.zip EasyFPGA030_非门实验例程及文档.zip EasyFPGA030产品用户使用手册.pdf EasyFPGA030原理图.pdf ProASIC3数据手册(英).pdf 基于EasyFPGA030的I2C总线接口模块.pdf 基于EasyFPGA030的串口接收显示设计.pdf 基于EasyFPGA030的四位数字密码锁 .pdf 基于EasyFPGA030的模拟乒乓比赛设计 .pdf 基于EasyFPGA030的模拟开小车的设计.pdf 基于EasyFPGA030的波形发生器设计 .pdf 基于EasyFPGA030的直流电机控制电路设计.pdf 基于EasyFPGA030的简易频率计设计 .pdf 第三届“ZLG 杯”大学生 FPGA DIY 创新电子设计竞赛获奖作品论文集 .pdf
2023-03-09 10:11:25 38.04MB Actel EasyFPGA
1