应用vhdl语言编写,主要用于数字电路的课程设计,实现十字路口交通灯转换的控制。分主干道和支干道,正常为主干道绿灯,当主干道无车通过支干道请求时,有4s的黄灯时间,然后支干道变成绿灯,30s后恢复主干道为绿灯
2020-01-03 11:20:08 228KB 交通信号灯 vhdl 课程设计 数字电路
1
见http://blog.csdn.net/czjxy881/article/details/21228881
2020-01-03 11:18:59 2.19MB 微机 交通灯 西电
1
交通信号灯控制器(A) 具体要求如下: (1)用红、绿、黄发光二极管作信号指示灯。 (2)让主干道处于常允许通行的状态,支干道有车来时才允许通行。可用逻辑开关作主支干道检测车辆是否到来的的信号。 (3)主支干道交替允许通行。主干道每次放行45秒,支干道每次放行25秒。 (4)在每次由绿灯亮转换到红灯亮的过程中,要亮5秒钟的黄灯作为过渡。 (5)设置45秒、25秒计时、5秒计时显示电路。 提示:设计时先用仿真软件Multisim测试设计电路是否正确,无误再制作实际电路。
1
基于CoDeSys开发平台的交通信号灯控制系统的设计
2019-12-21 22:10:51 406KB CoDeSys 交通信号灯 控制系统
1
代码是基于stm32开发板,利用c语言编程,模拟交通信号灯
2019-12-21 21:46:24 4.09MB 嵌入式
1
一个表示十字路口控制信号灯的图形用户界面,交通信号灯的属性包括方向(东西,南北)、颜色(红,黄,绿)、车道(左转、直行、右转)、显示时间(秒)。 四个方向的信号灯同时运行,其中东西向、南北向的信号相同,以两位数显示倒计时的秒数,经过指定延时时间,切换信号灯状态。
2019-12-21 21:24:08 9KB 交通信号灯
1
研究目的: 模拟交通灯控制器就是使用单片机来控制一些LED和数码管,模拟真实交通灯的功能。红、黄、绿交替闪亮,利用数码管倒计数显示间隔等,用于管理十字路口的车辆及行人交通,计时牌显示路口通行转换剩余时间等。利用所学知识设计一款基于单片机的交通信号灯的控制系统。主要功能如下:东西向与南北向信号灯定时20秒交换一次、每次交换时点亮黄灯5s提醒行人。
2019-12-21 21:13:46 10.61MB STC单片机 交通信号灯 控制系统
1
微机原理与接口技术课程设计-交通信号灯,1.1题目要求: 1.能实现每30秒红绿灯交替点亮; 2.通过LED数码管显示点亮时间,在绿灯要灭的前3秒钟变成黄灯闪烁;
2019-12-21 21:06:47 230KB 微机原理 交通信号灯
1
这是我们课程设计时用multisim模拟仿真的交通信号灯控制电路,希望能对大家有所帮助。
2019-12-21 20:22:19 246KB multisim 电路 交通信号灯
1
这是我的毕业设计,大家可以借鉴一下,使用Java模拟交通信号灯。
2019-12-21 19:34:45 594KB 毕业设计 论文 java
1