有PCB+原理图,protues仿真,我自己也有这个学习,keil4编写的代码,可以用,有很大的参考价值
2021-04-18 22:30:43 74KB 51单片机 8层电梯
1
一套12层电梯的梯形图程序代码,非常的实用,运行良好。
2021-04-17 18:58:00 12KB 电梯
1
三层电梯PLC设计控制梯形图,使用的是不仅指令进行编程,通俗易懂。其中用到分支编程。
2021-04-15 14:50:44 82KB 三层电梯 PLC设计 梯形图
1
三层电梯PLC控制.rar
2021-04-15 09:03:24 21KB PLC
1
基于西门子S7—1200的单部六层电梯设计程序,1部6层电梯。 本系统控制六层电梯, 采用集选控制方式。
2021-04-12 14:15:26 2.60MB 电梯 PLC 博途
1
西门子智能制造工程设计与应用类赛项 暨逻辑控制大赛, 博图v13T型图编程控制六部十层电梯。内含wincc仿真,华东赛区特等奖程序仅供学习参考请勿商业使用
2021-04-12 14:00:34 4.91MB TIA  V13 Plc1200
1
基于PLC控制5层电梯毕业设计 本文在介绍了可编程控制器的原理和变频控制技术的基础上,结合六 层电梯的控制要求,提出了基于 S7-200 PLC 和 FR-A540 通用变频器的 VVVF 电梯控制系统的实现方案,分析了轿厢 S 形速度运行曲线对电梯舒 适性的影响以及旋转编码器的定位控制,重点研究电梯拖动系统的变频调 速和电梯控制器的设计。最后的仿真调试结果表明,基于 PLC 的变频调速 电梯系统运行稳定、易于改造、定位准确,获得了良好的舒适感
2021-04-12 09:35:50 1.18MB 电梯 PLC
1
毕业论文__基于三菱FX2N-128MRPLC五层电梯控制系统的设计
1
西门子杯六部十层电梯群控案例,一等奖例程,谨慎传阅,亲测可用,谢谢使用。。。。 西门子杯六部十层电梯群控案例
2021-04-11 17:12:24 8.52MB CIMC SIEMEN dian
1
一、系统描述 电梯系统有两种工作模式:标准工作模式、单层工作模式;模式控制开关SA为ON时,以标准工作模式工作,SA为OFF时以单层工作模式运行。 二、系统控制要求 1、标准工作模式具体控制要求如下: 1)电梯停在1层,2F、3F均有呼叫时,则电梯上升至2F暂停,门开3秒后继续上升至3F停,门开3秒钟。 2)电梯停在1层时,按2F(2层呼叫,或在电梯内按2层),则电梯上升至2层停,2F指示灯亮,门开3秒钟。 3)电梯停在1层或2层时,按3F(3层呼叫,或在电梯内按3层),则电梯上升至3层停,3F指示灯亮,门开3秒钟。 4)电梯停在3层或2层时,按1F(1层呼叫,或在电梯内按1层)则电梯下降至1层停,1F指示灯亮,门开3秒钟。 5)电梯停在3层时,按2F(2层呼叫,或在电梯内按2层),则电梯下降至2层停,2F指示灯亮,门开3秒钟。 6)电梯停在3层,1F、2F均有呼叫时,则电梯下降至2F暂停,门开3秒后继续下降至1F停,门开3秒钟。 7)电梯上升或下降过程中上行或下行指示灯闪烁。 8)电梯停于某一层,相应的呼叫指示灯灭,同时上行或下行指示灯亮5秒。 9)当电梯在上升、下降途中,任何反方向的按钮均无效。 10)电梯平层停止由各层位置开关控制;电梯门开关用灯的亮灭表示; 2、单层工作模式控制要求如下: 1)当SA为OFF时进入单层工作模式,若此时电梯位于2层且无人呼叫,则电梯自行运行到1F等候;若此时1F或3F有人呼叫,则运行到相应楼层; 2)在此模式下,2F呼叫无效,电梯在1F和3F之间根据呼召情况运行。
2021-04-11 12:35:05 581KB PLC 三层电梯 课程设计 报告
1