一个能产生正弦波、方波、三角波、梯形波、锯齿波的波形发生器。 用数码管显示每次输出波的类型,输出正弦波时数码管显示1;输出方波时数码管显示2;输出锯齿波时数码管显示3;输出三角波时数码管显示4;输出梯形波波时数码管显示5。方波的占空比可调。五种波的频率可调。五种波的幅度可调。电源指示灯。
2019-12-21 21:16:07 724KB 51单片机
1
基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波 方波 三角波 锯齿波四种波形,并且波形频率可调
2019-12-21 21:16:00 5.54MB FPGA DDS VHDL xilinx
1
基于labview的函数信号发生器的设计 1.设计主要内容及要求; 设计基于Labview 的函数信号发生器。 要求:1)掌握NI-DAQ使用方法。 2)了解函数信号产生方法。 3) 输出一路占空比可调的方波信号,一路函数信号(输出信号类型可选择)。
2019-12-21 21:11:10 447KB labview的多功能函数信号发生器
1
基于声卡的LabVIEW虚拟信号发生器设计
1
dds信号发生器设计案例,有参考价值,简单实用
2019-12-21 21:09:53 525KB DDS
1
该资料讲述了这个函数信号发生器的实现过程,论文已于2014年9月发表,并收录于中国知网,请尊重笔者知识产权,合理利用资源,谢谢!(http://www.cnki.net/KCMS/detail/detail.aspx?QueryID=0&CurRec=1&recid=&filename=ELEW201417132&dbname=CJFDTEMP&dbcode=CJFQ&pr=&urlid=&yx=&uid=WEEvREcwSlJHSldTTGJhYkhRWld6VFJsR1hqeXhwNk5ZWTUzNy9kOTBjeGlzK2lZTUVMNlgzLzBCMGxkUzNVejhQcz0=$9A4hF_YAuvQ5obgVAqNKPCYcEjKensW4IQMovwHtwkF4VYPoHbKxJw!!&v=MDE1ODdTN0RoMVQzcVRyV00xRnJDVVJMK2ZZdVJ1RmlEaFdyN05JQ0hPZWJHNEg5WE5xSTVHWm9SOGVYMUx1eFk=)
2019-12-21 21:02:35 1.14MB STM32+DA转换
1
用D/A转换器输出一个正弦波,频率从20Hz~5KHz,采用矩阵式按键,由按键直接四位数指定频率,8052的定时器2定时输出。文件包含电路图和设计程序,及全部仿真文件可直接运行。
1
基于FPGA的信号发生器 能实现 三角波 正弦波 方波 锯齿波
2019-12-21 20:35:29 452KB 信号发生器
1
本系统采用单片机STC89C52和集成芯片MAX038作为系统的控制核心。它采用模块化设计思想,由直流稳压电源、波形产生器、电压放大器、电压跟随器、滑动变阻器调节等模块组成。
2019-12-21 20:29:54 1.11MB MAX038
1
全国电子设计大赛----波形发生器设计 使用计算机和数模转换器构成信号发生器,可以产生方波、三角波、锯齿波和正弦波等多种波形,波形的周期、频率可调。 要求完成计算机和DAC的选型,了解不同波形的产生原理和设计方案,画出硬件电路图,并编程完成软件部分,最后调试观察产生不同类型的波形信号。 (1)课程设计论文内容要正确,概念要清楚; (2)完成任务书所规定的内容; (3)附有电路原理图及程序流程图,以及程序清单; (4)文字要通顺,书写要工整,设计图纸必须符合规范
1