使用verilog实现的简单自动售货机,逻辑课最终实验
2021-04-15 10:39:37 7.78MB verilog 逻辑
1
基于西门子PLC设计的自动售货机系统毕业设计,绝对完美。毕业设计要的所有在里面。梯形图,流程图,增加许多新的自动售货机的功能。
2021-04-08 13:26:26 720KB 西门子 PLC设计 自动售货机 毕业设计
1
MATLAB自动售货机纸币识别系统,本设计为基于MATLAB的人民币识别系统。带有一个GUI界面。先利用radon进行倾斜校正,根据不同纸币,选择不同维度的参数识别纸币金额,有通过RGB分量识别100元;通过面额图像的宽度识别1元、5元;通过构建矩形结构体识别10元;通过RGB分量识别20元与50元。
1
自动售货机 PLC课程设计 目录,任务描述,控制要求,系统配置及输入/输出继电器地址分配,程序设计 ,整体运行梯形图 ,实验心得 ,参考文献 。
2021-04-05 09:43:04 477KB 自动售货机 PLC 课程设计
1
这份自动售货机全套资料是基于欧姆龙PLC编程的,内含PLC程序、组态程序、图纸、论文和教程。需要自取...
2021-04-03 16:42:49 2.17MB 自动售货机 欧姆龙 图纸论文 教程
1
EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,得到设计结果,并且修改设计方案如同修改软件一样方便。利用EDA工具可以极大地提高设计效率。   利用硬件描述语言编程来表示逻辑器件及系统硬件的功能和行为,是EDA设计方法的一个重要特征。VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)是硬件描述语言的一种,对系统硬件的描述功能很强而语法又比较简单。VHDL具有强大的行为描述能力,设
1
功能简述:提供3种饮料;硬币仅支持50分或100分1枚,其他硬币值不接受;投入50分硬币,选择的饮料正好有库存,提示“请取饮料”,否则提示“目前该饮料已经售完。”;若投入100分硬币,在上一种情况的基础上,还需检查是否机内存有50分硬币,如有则提示“请取饮料,并取回找钱。”,否则提示“对不起,目前不能找钱,请投5角硬币。”;购买成功,需将该饮料库存减少一。
2021-03-29 12:13:25 61KB Java 售货机
1
本科的课程设计,采用AT89C51单片机,自动售货机程序51汇编语言和自动售货机电气原理图。程序注释丰富,8成的代码都写了注释。另有投币器的资料整理。
1
本资源属个人论文,现拿来共享,希望大家会喜欢,里面包含开题报告,文献综述,以及外文原文和翻译等!
2021-03-14 22:21:41 56KB 自动售货机 毕业设计 毕业论文
1
Verilog HDL自动售货机设计,Vivado仿真工程
2021-03-09 13:06:53 101KB FPGA VerilogHDL 自动售货机