光立方完整资料(原理图+清单+程序+频谱+仿真+取模软件+上位机软件)
2020-03-10 03:09:46 3.3MB 光立方
1
matlab画电磁场图,从仿真软件里面把数据导到matlab中,就能在matlab重新画这个图
2020-02-13 03:10:45 2KB matlab
1
stc 51单片机实现的交通灯实例(包括keil程序,protues仿真)
1
AVR C程序 电路仿真图 自己毕设的资料,包含电路图,程序,仿真
2020-01-03 11:41:07 99KB AVR C程序 电路仿真图
1
供学习爱好者交流使用。互惠互利,共赢。愿我们相互扶持越走越远
1
单片机 89c51 八个数码管显示0到f,资源中有c程序和仿真图,还有生成的hex文件,打开仿真图即可仿真
2020-01-03 11:28:47 20KB 八个数码管显示
1
郭天祥1602液晶时钟程序及仿真,非常好用的资源
2020-01-03 11:28:22 92KB 郭天祥 1602 时钟 仿真
1
89C51计算器程序+proteus仿真,毕设程序,实现+-*/乘方开方运算
2020-01-03 11:24:19 107KB proteus
1
异步清零、技术使能、数据加载等不同使能的4位计数器的Verilog源程序以及对应的testbench测试程序和仿真波形,已经测试过了,已经测试过了,欢迎下载,收取大家2个财富值,希望大家多多包涵,自己的csdn账号也没有财富值了。
2020-01-03 11:22:28 336KB Verilog 4位计数器 testbench
1
介绍了基于FPGA的电梯控制器的总体设计方案,阐述了其内部功能模块的工作原理,基于状态机的原理,利用VHDL语言对各功能模块进行了编程、编译、仿真,并下载到实验箱上进行了验证。结果表明,该电梯控制器按照方向优先的原则可为四个楼层提供载客服务,并具有电梯运行情况指示功能。文中使用的设计方法不仅简化了电路设计、节约了设计成本,而且提高了控制器的可靠性、稳定性和灵活性。
2020-01-03 11:21:40 160KB 电梯控制器 VHDL
1