文本比较器.rar.rar
2021-01-28 03:44:49 9.32MB 文本比较器.rar
1
1、 正弦转化矩形信号 2、 湿度调控电路 3、 LM311滞回电压比较器
2020-01-03 11:40:05 465KB 北邮 模电实验 电压比较器 报告
1
滞回电压比较器的仿真,利用multisim软件进行制作。可以被大多数工科学生所使用
2020-01-03 11:34:30 78KB 电压比较器 仿真
1
使用LinkedList类编写程序,用某种集合接口的实现类作存储,实现具有自定义排序功能的包含姓名、年龄、身高、职称等内容的人事信息输入和打印。
2020-01-03 11:17:40 7KB java LinkedList 比较器 任意排序
1
TLV3501封装(AD封装/Altium Designer封装),DBV_6封装 ,模拟线性比较器
2019-12-21 22:22:52 334KB TLV3501 比较器
1
Java编写的一个excel比较器 可对两个excel中的多个sheet进行比较 并将不同之处进行标色 将结果写到另外的excel中
2019-12-21 22:18:14 13.45MB Java excel poi JFrame
1
摘要:超声波测距是一种典型的非接触测量方式,应用非常广泛。本文提出了一种基于STM32单片机的高精度超声波测距方案。与传统单片机相比,STM32的主频和定时器的频率可以通过PLL倍频高达72MHz,高分辨率的定时器为高精度的测量提供了保证。超声波的发射使用定时器的PWM功能来驱动,回波信号的接收使用定时器的输入捕获功能,开始测距时,定时器的开启将同时启动PWM和输入捕获,完全消除了启动发射和启动计时之间的偏差,提高了测量精度。为使回波信号趋于稳定,设计了时间增益补偿电路(TGC),在等待回波的过程中随着时间的推移需要将放大器的增益值不断增大,通过实验获取不同距离需要设置的增益值,对应不同时间需要设置数字电位器的增量,并将该参数固化在单片机的FALSH中,在测距过程中,根据时间查询电位器增量表改变电位器阻值,实现回波信号的时间补偿,提高了测量的精度。为了在减小盲区的同时而不减小测量范围,设计了双比较器整形电路分别处理近、远距离的回波信号,近距离比较器可以有效屏蔽超声波衍射信号从而减小了测量盲区。传统的峰值检测方法大多通过硬件电路实现,设计较复杂,稳定性差。本文通过软件算法对回波信号进行峰值时间检测。不仅简化了电路,降低了成本,而且提高了系统的稳定度。经研究表明,该系统测量精度达到了lmm,盲区低至3cm,量程可达500cm。本系统在近距离测试时,系统的精度较理想,可作为停车时的倒车雷达使用,也可以用于液面检测(油箱液位),还可以用于自动门感应,机器人视觉识别等。如果多使用几个测距仪,将这些集成一个大系统,那么整个大系统可用于定位避障。
2019-12-21 21:50:24 7.86MB STM32单片机 超声波测距 双比较器
1
由创龙工程师联合一众电子开发爱好者联合翻译的最新TMS320F2837xD中文翻译数据手册现在可以下载了。您再也不用打开着某某翻译词典,一边翻译,一边忍受着非专业的词汇的痛苦了。 主要围绕TL2837x-EasyEVM是一款基于创龙SOM-TL2837x核心板所设计的高端单/双核浮点开发板,它为用户提供了SOM-TL2837x核心板的测试平台,用于快速评估SOM-TL2837x核心板的整体性能。 TL2837x-EasyEVM底板采用沉金无铅工艺的2层板设计,不仅为客户提供系统驱动源码、丰富的Demo程序、完整的软件开发包,以及详细的TMS320F28x系统开发文档,还协助客户进行底板的开发,提供长期、全面的技术支持,帮助客户以最快的速度进行产品的二次开发,实现产品的快速上市。
2019-12-21 21:17:05 13.21MB TMS320 比较器子系统 技术参考手册 创龙
1
四位数据比较器的VHDL实现 包括源码 仿真波形以及引脚配置
2019-12-21 20:58:41 256KB VHDL EDA 四位数据比较器
1
STC12C5A60S2单片机;LTH1550红外反射开关;LM311比较器;硬件电路调试可以用,可以直接制作,软件部分可以调整小车速度;
1