基于FPGA的函数信号发生器设计 完整的论文。 可以直接拿来使用参考
2021-05-16 08:27:34 898KB FPGA 信号发生器
1
本系统采用MSP430F149单片机为控制核心,利用单片机内置的DA芯片,通 过按键中断来逻辑选择要输出的波形(正弦波、方波、三角波、锯齿波),再设置 其它键来控制波形的幅值及频率,然后通过单片机控制显示到LCD1602上。 波形的产生是通过MSP430单片机执行某一波形发生程序,向D/A转换器的输 入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。 在MSP430学习板的键盘按键,通过软件编程来选择各种波形、幅值电压和频率, 按不同按键产生不同波形的信号。此方案的有点是电路原理比较简单,实现起来 比较容易。
2021-05-12 16:23:37 5.11MB msp,函数
1
学位论文,数字信号发生器的原理及其基于DSP软硬件的设计,有具体的电路图及部分代码
2021-05-12 16:23:32 6.05MB DSP 数字信号
1
利用DAC0832产生三角波、锯齿波和方波。按“1”键产生三角波;按“2”键产生锯齿波;按“3”键产生方波。波形频率为100Hz。
2021-05-04 20:22:45 3KB 波形发生器 按键控制波形
1
基于DSP的正弦波信号发生器设计pdf,
2021-05-04 16:51:25 1.83MB DSP
1
基于FPGA的频率合成信号发生器设计 基于FPGA的频率合成信号发生器设计(VHDL)基于FPGA的频率合成信号发生器设计(VHDL)
2021-05-03 17:53:44 3.05MB 基于FPGA的DDS信号发生器设计
1
基于FPGA的函数发生器,采用VHDL语言编写,已硬件实现,能够产生正弦波、三角波、方波和锯齿波四种波形,并且能够调节频率,很强大哦。。。
2021-04-29 21:08:35 325KB DDS
1
介绍了用数字方式实现频率合成技术的基本原理和芯片的内部结构及工作模式。设计了一种采用单片DDS AD9854 机控制 为核心的信号发生器
2021-04-28 13:18:35 124KB DDS AD9854
1
代码资源完整,内涵相应的实验指导书和相应的芯片手册,适合实习选题使用,de2_70板子,各个模块分装完整,下载即可使用,内涵使用的相关说明,简单易于操作。使用方便
2021-04-26 15:23:25 11.62MB FPGA 信号发生器 实习专用
1
专用三相电能表检验装置以输出大电流驱动交流互感器为负载对象,价格昂贵,而电力参数测试仪的微机系统在研发与调试的过程中,可以不用交流互感器层,直接从模拟信号放大器输入接口加入低压微功耗正弦波信号,完成交流信号各次谐波幅值与相位的测量与校准。由于直接数字频率合成电力谐波发生器价格低廉,可以作为电能表和测量仪器等的基准校准源,故提出一种基于dsPIC30F2012的电力谐波发生器设计方案。采用正弦波的二阶IIR谐振器迭代算法产生各种工频整数倍的谐波和初始相位,并通过数字合成方法,实现任意次谐波的合成波,具有整定灵活,分辨率高,实现方法简便等优点,工程应用价值高。
2021-04-25 12:36:31 274KB 电力谐波 DSPIC30F2012
1