基于单片机的密码锁设计,程序使用汇编语言,具有修改密码,三次输入密码错误锁屏报警等功能。
2021-12-22 17:30:41 865KB 电子密码锁
1
Verilog HDL电子密码锁工程实现
2021-12-21 12:02:53 5.62MB VerilogHDL
课程设计,电子密码锁,等等,设计一种单片机控制的密码锁,具有按键有效指示、、解码有效指示、控制开锁电平、控制报警、密码修改等功能。8位十进制密码共一亿组不重复,保密性强。 1)锁的初始状态为‘锁合’指示灯亮。输入初始密码“0、1、2、3、4、5、6、7”,每输入一位,‘按键有效’ 指示灯亮约半秒钟,输完8位按确认键,锁打开,‘锁开’指示灯亮; 2)按‘上锁’键,锁又重新上锁,‘锁合’指示灯亮; 3)‘锁开’状态下,可输入新密码,按确认键后更改密码,可重复修改密码。 4)如果输入密码错误,‘错误’指示灯亮约半秒钟。可重新输入密码。 5)输入密码错误超过3次,蜂鸣器启动发出报警,同时‘错误’指示灯常亮。 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
2021-12-19 19:05:34 2.63MB 课程设计
1
压缩包包含: 1、电子密码锁上位机软件(32位2016版labView) 2、电子密码锁下位机程序 3、AD原理图和库文件 4、电子密码锁使用截图 请查看注意事项后再绘制PCB
2021-12-18 13:44:44 2.27MB Labview 电子密码锁 keilC 上位机
1
摘要:近年来随着科技的飞速发展,单片机的应用正在不断的走向深入,同时带动传统产品日新月异的更新。在实时检测和自动控制的单片机应用系统中,单片机往往是为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。 利用目前使用的微处理器AT89c51作为控制元件设计了一种电子密码锁。在PROTEUS模拟仿真软件上,以AT89C51单片机为核心器件,结合按键电路、液晶显示电路、报警指示电路设计了一款保密性好、编码量多、随机开锁成功率几乎为零的电子密码锁。利用单片机灵活的编程设计和丰富的I/O端口,及其控制的准确性通过软件程序来控制整个系统实现电子密码锁的基本功能。系统能实现密码的输入、清除、显示,密码正确开锁,密码错误报警,系统设定初始密码。同时也显示了PROTEUS软件在硬件设计和软件调试方面的高效性。 电子密码锁以其方便、使用、性价比高等优势正在不断占据当今市场,因此,我选择了此题目,对电子密码锁进行不断的研究与尝试。
1
基于8086的电子密码锁 原创 如有疏漏 敬请指正
2021-12-16 21:08:50 1.91MB 8086 密码锁
1
单片机的电子密码锁课程设计。基于c语言,可直接用在keil中,连接单片机使用。利用蜂鸣器
2021-12-16 16:49:42 3KB 单片机 电子密码锁 课程设计
1
单片机电子密码锁论文,课程设计和毕业设计必备的参考资料
2021-12-15 18:52:50 2.29MB 单片机电子密码锁论文
1
本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超时 ;报警、超次锁定、管理员解密、修改用户密码基本的密码锁的功能。
2021-12-14 22:02:50 13KB 矩阵键盘 电子密码锁
1
;完成功能为电子密码锁 ;上电后进入等待状态,各位显示短横杠 ;如按set键则进入密码设置状态进行密码设置 ;进入密码设置状态后蓝色led点亮,此时可逐位输入6位密码,每输入一位则该位短横杠被F覆盖 ;在此期间如需重新设置可按clr_set键,程序将回到等待状态,再次按下set键则可重新设置密码 ;设置密码完成后可按confirm_set键确认密码设置完成,程序将回到等待状态 ;默认缺省密码为6个9 ;如按input键则进入密码输入状态进行密码输入 ;进入密码设置状态后黄色led点亮,此时可逐位输入6位密码,每输入一位则该位短横杠被P覆盖 ;在此期间如需重新输入可按clr_input键,程序将回到等待状态,再次按下input键则可重新输入密码 ;输入密码完成后可按confirm_input键确认密码输入完成,程序根据正确与否进行处理 ;正确则绿色led一直点亮,用来代替表示开锁动作 ;错误则红色led闪烁10次后回到等待状态等待重新输入密码
1