课题说明:汽车通常用尾灯来指示当前的运行状态,比如左转弯、右转弯、紧急停车、夜间行驶、夜间行车、安全停车等。有限个状态可用若干灯的组合来表示,通常是右转弯时右灯闪烁,左转弯时左灯闪烁,紧急等车时左右灯同时闪烁,夜间行车时左右红灯常亮,安全停车所有灯全熄灭,本课题任务就是完成汽车尾灯控制器的设计
2019-12-21 21:03:53 71KB 数字电路 汽车尾灯
1
一、任务及要求 任务: 假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1. 汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;.3. 左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。 要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。
2019-12-21 20:36:17 301KB 数电课设 汽车尾灯
1
基于VHDL的汽车尾灯控制。基于VHDL的汽车尾灯控制。基于VHDL的汽车尾灯控制。
2019-12-21 20:14:32 318KB VHDL 汽车尾灯
1
基于fpga汽车尾灯控制电路设计与实现,汽车尾灯的闪烁。
2019-12-21 20:02:35 6KB 尾灯 fpga
1
汽车尾灯,Verilog语言程序,含所有运行程序,可以直接运行,仅供参考
2019-12-21 20:00:09 233KB 汽车尾灯
1
汽车尾灯控制电路(EWB) R键实现右转 L键实现左转 空格实现刹车 指示灯全亮
2019-12-21 19:44:01 28KB 汽车尾灯 控制电路 (EWB)
1
压缩包含有设计的电路和设计报告; 本设计借助于Multisim软件在计算机上仿真制作了汽车尾灯控制器电路,目的是为了在汽车正向行驶、右转弯、左转弯、临时刹车时,实现四种不同模式下的汽车尾灯状态显示。 本设计由模式控制电路、三进制计数器、译码与显示驱动电路、尾灯状态显示电路四部分组成,每个模块完成不同的功能,各个模块共同作用,组合形成本设计汽车尾灯控制器电路,实现四种不同状态显示。 最后,在Multisim软件中通过电路仿真,检验该设计的具体功能与要求是否一致,实现汽车尾灯控制的相关功能。
2019-12-21 19:36:28 2MB 课程设计
1
罗杰的电设书,课题设计的,完全可以,下载到DE0测试过
1
(1)汽车尾部左右两侧各有多盏指示灯。 (2)汽车正常行驶时指示灯都不亮。 (3)汽车右转弯时,右侧的一盏指示灯亮。 (4)汽车左转弯时,左侧的一盏指示灯亮。 (5)汽车刹车时,左右两侧的一盏指示灯同时亮。 (6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用
2019-12-21 19:22:35 272KB 汽车尾灯控制器 vhdl 课程设计
1