NI Labview 2012 PID和模糊控制模块 2012PIDFuzzy
2019-12-21 21:54:37 61.47MB NI Labview PID Fuzzy
1
充电模块参数说明、充电模块、使用、恒流、恒压、恒流恒压控制模块使用说明书
2019-12-21 20:26:33 214KB 充电模块、使用、恒流、恒压
1
用Verilog语言描述交通灯控制,包括工程文件,可在FPGA上硬件实现
2019-12-21 19:58:09 875KB Verilog
1
基于Xilinx FPGA的DDR3控制器读写程序,此程序已经用于实际的项目中,读写控制很稳定。上传的是一个实际的DDR3工程,开发环境为Vivado 2017.4
2019-12-21 19:37:52 35.06MB DDR3 FPGA Xilinx
1
本设计系统包括温度传感器,A/D转换模块,输出控制模块,数据传输模块,温度显示模块和温度调节驱动电路六个部分。文中对每个部分功能、实现过程作了详细介绍。
1
利用verilog编写系统时钟模块,调用dll的IP核,将输入50MHz的系统时钟信号分频或扩频成所需要的24MHz和100MHz信号,简单易行,亲测可用
2019-12-21 19:32:26 3.3MB verilog clock fpga
1
onvif 协议关于云台ptz的模块代码,相互交流
2014-03-24 00:00:00 1.28MB onvif ptz
1
S7-200 能够进行PID 控制。 PID 是闭环控制系统的比例-积分-微分控制算法。 PID 控制器根据设定值(给定)与被控对象的实际值(反馈)的差值,按照PID 算法 计算出控制器的输出量,控制执行机构去影响被控对象的变化。 PID 控制是负反馈闭环控制,能够抑制系统闭环内的各种因素所引起的扰动,使反馈 跟随给定变化。
2012-01-04 00:00:00 598KB PID模块,整定方法
1