对于初学Zedboard同学非常赞的学习资料!实验手机利用wifi控制Zrobot
2019-12-21 21:35:19 18.87MB Zedboard
1
在Ubuntu虚拟机中可编译PC端的程序,使用GCC交叉编译链可以生成在Zedboard运行的可执行程序
2019-12-21 21:28:09 810KB Ubuntu Zedboard Opencv 人脸识别
1
xilinx和德致伦公司合作开发的zedboard开发板,FPGA芯片里面含有ARM Cortex-A9双核,构成嵌入式系统,快速开发。这是板上的USB转UART驱动。
2019-12-21 21:20:43 390KB zedboard driver uart
1
很好用的HDMI工程,vivado 2016.2、支持音频、亲自测试!
2019-12-21 21:13:01 1.68MB zynq hdmi zedboard fpga
1
非常好的实例,建议对zedboard感兴趣者一定试验下。一个完整的vivado(block design)、SDK(C、download)、HLS(IP)设计实例,使用了Xilinx的IP、第三方IP、用户HLS设计的IP等,进行软硬件协同设计,软、硬件运行时间效果对比(这一点可以体现硬件甚至FPGA的速度优势)等。 内含个人的简要程序分析。
2019-12-21 21:08:32 18.88MB vivado zedboard 入门实例
1
vivado+zedboard之OLED驱动。包括oled在vivado2014.1下实现的源文件、oled驱动文件等。实现方法见我的博客
2019-12-21 21:08:32 14.77MB vivado zedboard OLED驱动
1
目标:使用vivado进行zedboard的audio测试。从pc获取音频信号,通过开发板处理后输出。通过本实例学习vivado+zedboard软硬件设计的方法,学习控制zedboard外设的方法。本文将介绍实验的过程,并对驱动程序进行分析,简单说明如何驱动audio设备。并简单介绍如何通过SDK来控制外设。本文将分为以下步骤: 1. 使用Vivado TCL创建一个工程,修改相关参数,完成硬件设计。generate,最后导入到SDK中 2. 在SDK中新建工程,添加文件,编译。下载到ZedBoard上进行调试 3. 驱动程序分析 4. 获取IP信息的方法整理 5. 总结
2019-12-21 21:08:32 17.42MB vivado zedboard audio 驱动
1
对一段随机的音频信号进行实时频谱分析。从pc获取音频信号,经由PL的fft IP处理后送入OLED,进行音频频谱的实时显示。通过本实例学习vivado+zedboard软硬件设计的方法,学习控制zedboard外设的方法。本文在商品博客的基础上,把fft函数改为fft ip,实现相同的功能,对部分函数进行优化。
2019-12-21 21:08:32 39.82MB vivado zedboard 音频分析仪
1
在vivado2013.2(2014.1)下验证成功,包括helloworld、debug、booting from SD/QSPI等三个例程,可以了解Xilinx软硬件协同开发的原理、vivado+SDK+zedboard开发流程等。
2019-12-21 21:08:31 28.03MB vivado zedboard 入门教程
1
基于zedboard的SPI收发数据通讯记录文档,详细到不能再详细
2019-12-21 21:03:33 2.59MB zedboard SPI
1