用于AD9834波形发生器(DDS)的幅度控制电路,学科竞赛时参考资料
2021-12-15 18:52:08 351KB AD9834 波形发生器 幅度控制电路
1
vb课程设计之虚拟波形发生器,frm格式
2021-12-13 11:34:30 10KB 虚拟波形发生器
1
VHDL是一种主要的硬件描述语言之一,硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。所谓硬件描述语言,实际上就是一种描述工具,其描述的对象就是待设计电路系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等。通常要求HDL既能描述系统的行为,又能描述系统的结构。
2021-12-12 11:48:11 207KB EDA
1
使用Arduino,OLED显示屏和AD9833 DDS模块为您的实验室创建有用的波形发生器。 硬件部件: Arduino Nano R3 × 1个 显示SH1106 I2C Oled 128x64像素(4针)× 1个 AD9833 DDS模块× 1个 5V继电器模块(可选)× 1个 电容10 µF × 1个 电容10 nF × 1个 AC-DC 100-220V至5V降压电源模块× 1个 手动工具和制造机: 烙铁(通用) 特征 输出频率在1 Hz至999999 Hz之间 频率变化的两种模式:对数和一位数字 波浪,正弦波,三角形和正方形三种类型 交流或直流输出耦合 在两个预定义的频率值之间连续扫描的能力 仅由一个旋钮控制 集成的屏幕保护程序可以保持OLED显示屏的使用寿命 1kHz正弦波: 1kHz的三角波: 1kHz方波: 注意 DDS模块的输出幅度不是很高,正弦波和三角波的峰峰值平均在550 mV至650 mV之间,对于方波,峰峰值平均约为4.5V,约为峰峰值4.5V。当然,这往往会与频率成反比地下降,但高达1MHz时,它是线性的。AD9833 DDS模块能够产生高达12 MHz的信号,这对我来说不是必需的。如果您需要超过1 MHz,则可以尝试进行实验,并在显示屏上添加一个或两个数字,但这需要对图形界面进行部分重新设计。 输出信号的质量还取决于电源的质量,因此最好使用线性电源,而不是像切换电源那样,以减小从旧项目中恢复的情况的大小。但是,即使使用开关模块,波形的线性度也相当好。 快速参考 原型 我使用了具有10A触点的预组装继电器模块,因为目前我还没有微型簧片继电器,当不需要功率继电器时,这将是避免使用晶体管二极管和电阻器的最佳选择。Arduino数字PIN最多可提供40 mA的电流,因此您不能直接将机电继电器与120/150欧姆线圈连接。 使用电源时务必非常小心!
2021-12-09 08:25:42 495KB 波形发生器 Arduino ad9833 电路方案
1
基于ICL8038的波形发生器,亲测可用,进行扩充和更改时注意外接电容电阻参数,可根据文档引脚定义图进行参考修改电路,注意原电路外接电容参数在6400pF到64000pF之间,修改外接电阻和电源时注意分析是否需要修改电容值。
2021-12-05 09:05:10 228KB ICL8038 proteus
1
常用于FPGA设计中正弦波的产生,配合相关EDA工具使用(如quartus),打开文件可生成正弦波.mif文件,内附教程。 (仅供个人学习交流之用)
2021-11-29 17:03:03 107KB FPGA Quartus 波形发生器
1
1.设计一个信号发生器,输出波形如图1所示。(5阶电平波)
基于cyclone2 fpga的任意波形发生器VHDL设计QUARTUS工程文件+文档说明: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity DDS_top is port ( clk:in std_logic; --内部时钟 reset:in std_logic; --复位信号 key5 sclk:out std_logic; --TLC5615 sclk时钟脚 din:out std_logic; --TLC5615 din数据脚 cs:out std_logic; --TLC5615 cs片选 set_waveform_key_in:in std_logic; --波形设置按键 key1 set_f_key_in:in std_logic; --频率设置按键 key2 set_a_key_in:in std_logic; --幅值设置按键 key3 set_p_key_in:in std_logic; --相位设置按键 key4 sin_data:out std_logic_vector(9 downto 0) --输出的波形数据,用于测试 ); end DDS_top; architecture behave of DDS_top is signal set_waveform_line:std_logic_vector(1 downto 0); signal f_control_line:std_logic_vector(20 downto 0); signal a_control_line:std_logic_vector(3 downto 0); signal p_control_line:std_logic_vector(9 downto 0); signal dds_data_out_temp:std_logic_vector(9 downto 0); signal set_waveform_key:std_logic; signal set_f_key:std_logic; signal set_a_key:std_logic; signal set_p_key:std_logic; --DDs模块 component DDS is port( clk:in std_logic;--时钟输入 dds_data_out:out std_logic_vector(9 downto 0);--DDS数据输出 set_waveform:in std_logic_vector(1 downto 0);--设置输出的波形 set_f:in std_logic_vector(20 downto 0);--设置频率 set_a:in std_logic_vector(3 downto 0);--设置幅值 set_p:in std_logic_vector(9 downto 0)--设置频率 ); end component; -- DAC驱动模块 component TLC5615 is port( CLK:IN STD_LOGIC; SCLK:OUT STD_LOGIC; DIN:OUT STD_LOGIC; CS:OUT STD_LOGIC; DATA_IN:IN STD_LOGIC_VECTOR(9 DOWNTO 0) ); end component; -- 按键消抖模块 component key is port( clk:in std_logic; key:in std_logic; key_out:out std_logic ); end component; -- 按键编码模块 component key_coding i
四脉冲波形发生器完整课程设计,包括芯片资料,Multisim仿真文件,课程设计报告结果。
2021-11-21 12:26:53 41.9MB 四脉冲波形发生器 课程设计
1
三极管的LC震荡电路,自己测试过可以出波形,频率可以通过调整L、C值来改变。
2021-11-17 16:31:09 59KB LC震荡
1